Будь умным!


У вас вопросы?
У нас ответы:) SamZan.net

САНКТПЕТЕРБУРГСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ТЕЛЕКОММУНИКАЦИЙ ИМ

Работа добавлена на сайт samzan.net:


СМОЛЕНСКИЙ КОЛЛЕДЖ ТЕЛЕКОММУНИКАЦИЙ

(филиал) ФЕДЕРАЛЬНОГО ГОСУДАРСТВЕНННОГО ОБРАЗОВАТЕЛЬНОГО БЮДЖЕТНОГО УЧРЕЖДЕНИЯ ВЫСШЕГО ПРОФЕССИОНАЛЬНОГО ОБРАЗОВАНИЯ

«САНКТ-ПЕТЕРБУРГСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ТЕЛЕКОММУНИКАЦИЙ ИМ. ПРОФ. М.А.  БОНЧ-БРУЕВИЧА»

     

УТВЕРЖДАЮ

Зам. директора по УПР

_____________ И. В. Ильющенков

«___» ___________ 2013г

РАССМОТРЕНО

на  заседании цикловой комиссии

программно - вычислительных дисциплин

Протокол № _____

«___»___________2013г.

Председатель комиссии __________Мохнач О.А.

ПРАКТИЧЕСКАЯ РАБОТА 5

                        

 

По дисциплине:  Архитектура компьютерных систем 

                   

Наименование работы:   Изучение последовательностных цифровых устройств.

 

    

                 Для специальности: 230115     

        Работа рассчитана на 2 часа

Составлена преподавателем Мохнач О.А.

г. Смоленск

2013 г.

  1.  Цель работы: изучить УГО, таблицы истинности триггеров, изучить назначение и принципы действия последовательных и параллельных регистров.
  2.  Литература:

  1.  Максимов Н.В., Партыка Т.Л., Попов И.И. Архитектура ЭВМ и вычислительных систем: Учебник. - М.: ФОРУМ: ИНФРА - М, 2013

 3. Вопросы домашней подготовки:

  1.  Основные логические элементы, их УГО, таблицы истинности.
  2.  Что такое КЦУ?
  3.  Что называется триггером? Регистром?
  4.  Какие виды цифровых устройств вы знаете?

4. Основное оборудование: нет

5. Задание:

  1.  Изобразить УГО заданного триггера (таблица 1), указать назначение входов.
  2.  Привести таблицу истинности заданного триггера (таблица 1).

Построить таблицу записи двоичного числа  (таблица 2) в последовательный  4-разрядный регистр правого сдвига. Учесть исходное состояние регистра.

Построить диаграмму работы такого регистра.
6. Порядок выполнения работы:

  1.  Ознакомиться с пунктами практической работы;
  2.  Оформите свой отчет согласно седьмому пункту данной практической работы;

6.3 Выполните задание в соответствии со своим вариантом;
6.4 Сделайте вывод о проделанной работе.

7. Содержание отчета:

  1.  Название, цель работы, задание данной практической работы;
  2.  Номер варианта, условие задач своего варианта и их решение;
  3.  Перечень контрольных вопросов.
  4.  Вывод о проделанной работе;

8. Контрольные вопросы:

  1.  Виды цифровых устройств.
  2.  Почему JK-триггер называют универсальным?
  3.  Какие виды регистров Вы знаете?
  4.  Какие виды триггеров Вы знаете?
  5.  Как записать число в последовательный регистр?
  6.  Как записать число в параллельный регистр?

9. Приложение

 Таблица 1

№ варианта

задание

№ варианта                                  задание

1.

Асинхронный RS-триггер с прямыми входами

6. Синхронный RS-триггер с прямыми входами

2.

Синхронный JK-триггер с прямыми входами

7. Асинхронный JK-триггер с прямыми входами

3.

Асинхронный RS-триггер с инверсными входами

8. Синхронный RS-триггер с инверсными входами

4.

Асинхронный JK-триггер с инверсными входами

9. Синхронный JK-триггер с инверсными входами

5.

Синхронный D-триггер с инверсными входами

10. Синхронный D-триггер с прямыми входами

                           Таблица 2.

№ варианта

Число для записи

Исходное состояние регистра

№ варианта

Число для записи

Исходное состояние регистра

1

1000

0000

6

1011

0000

2

1110

0010

7

0101

0100

3

1001

1111

8

0111

0000

4

1101

1110

9

1010

0001

5

0010

0111

10

1110

1111

1. Триггеры

Триггеры имеют два устойчивых состояния. Эти состояния определяются по логическим уровням на выходах триггера. Триггер снабжается двумя выходами: прямым Q и инверсным  Q. Состояние триггера определяет логический уровень на выходе Q. Говорят, что триггер находится в состоянии логической единицы, если на выходе Q уровень напряжения, соответствующий логической единице.

Триггеры могут иметь входы различного типа:

R (от англ. RESET) – раздельный вход установки в состояние 0;

S (от англ. SET) – раздельный вход установки в состояние 1;

К – вход установки универсального триггера в состояние 0;

J – вход установки универсального триггера в состояние 1;

С - синхронизирующий вход;

D - информационный вход;

и некоторые другие.

Обычно название триггера дают по имеющимся у него входам: RS-триггер, JK-триггер, D-триггер   и др.

По способу записи информации триггеры подразделяются на асинхронные и синхронные. В асинхронных триггерах состояние на выходе изменяется сразу же после изменения сигнала на информационных входах. В синхронных триггерах для передачи сигнала с информационных входов на выходы требуется специальный синхронизирующий импульс.

Триггеры относятся к цифровым автоматам. В отличие от комбинационных схем состояние на выходе триггера в данный момент времени определяется  не только состояниями на входах триггера в этот же  момент времени, но и предыдущим состоянием триггера. Цифровые автоматы, к которым относятся триггеры, иногда называют последовательными схемами.

В последнее время триггеры обычно конструируют, используя логические элементы.

Рассмотрим два варианта  RS-триггера: RS-триггер с прямыми входами и RS-триггер с инверсными входами. Установка триггера в нужное состояние осуществляется подачей уровня логической «1» на соответствующий вход для триггера с прямыми входами и подачей сигналов  логического «0»  для триггера с инверсными входами.

Наибольшее распространение получили RS-триггеры, построенные на логических элементах 2И-НЕ или 2ИЛИ-НЕ. На рисунке 1.36,а приведена функциональная схема RS-триггера с инверсными входами на двух логических элементах 2И-НЕ, а на рисунке 1.36,б – его условное обозначение на принципиальных схемах.

RS- триггер с прямыми входами можно получить, имея в наличии два логических элемента 2ИЛИ-НЕ. Триггер получается путем соединения выхода первого логического элемента с одним из входов второго и соединения выхода второго логического элемента с одним из входов первого. Как видно из получившейся схемы (рис. 1.37,а), по отношению к логическим элементам  триггер симметричен. По этой причине не имеет принципиального значения, выход какого из элементов считать прямым выходом триггера. Допустим, что прямым выходом триггера является верхний вывод. Определим, какой из входов триггера является входом R, а  какой – входом  S. Вспомним, что активным  логическим  уровнем для  элементов ИЛИ-НЕ является уровень логической единицы, т.е. если на входе элемента 2ИЛИ-НЕ действует логическая «1», то на выходе будет «0». Зная, что вход R – это вход установки триггера в нулевое состояние, приходим к выводу, что входом R в данном случае будет верхний вход RS-триггера. Функциональная схема RS-триггера с прямыми входами на двух логических элементах 2ИЛИ-НЕ и его условное обозначение на принципиальных схемах приведены соответственно на рисунках 1.37а,б.

Работу RS-триггера можно описать различными способами: аналитически с помощью формулы; с помощью таблицы, в которой записываются состояния на входах и выходах триггера в различные моменты времени;  с помощью временных диаграмм. На временной диаграмме показывают, как меняются сигналы на выходах триггера при изменении сигналов на его входах.

На рисунках 1.39,а,в приведены функциональные схемы синхронного RS-триггера с прямыми входами, а условное обозначение этих триггеров на принципиальных схемах показано на рисунке 1.39,б.

Рассмотрим функциональную схему синхронного RS-триггера, приведенную на рисунке 1.39а. При С=0  на входах R, S  асинхронного триггера на элементах DD1.1 и  DD1.2 действуют сигналы логического нуля (логический нуль является пассивным логическим уровнем для логических элементов 2ИЛИ-НЕ), поэтому при любых комбинациях сигналов на входах R, S синхронного RS-триггера состояние триггера не меняется. При С=1 рассмотренный синхронный RS-триггер работает точно так же, как рассмотренный чуть раньше асинхронный RS-триггер с прямыми входами.

В синхронных  RS-триггерах со статическим управлением остается неоднозначность состояния на выходе триггера, если с входов  R, S одновременно убирать активные уровни сигналов. Для устранения неоднозначности в схему синхронного  RS-триггера добавляют логический элемент «НЕ». Получившийся триггер является D-триггером со статическим управлением. Функциональная схема этого триггера приведена на рисунке 1.42,а, а его условное обозначение на принципиальных схемах – на рисунке 1.42,б.

При С=0 состояние триггера изменяться не будет, какой бы ни был сигнал на входе D, т.к. на выходах элементов DD2.1, DD2.2 будут сигналы логических нулей. При С=1 и D=1 на выходе элемента DD2.1 появится сигнал логического нуля, а на прямом выходе D-триггера – сигнал логической единицы. При С=1 и D=0 сигнал логического нуля появится на выходе элемента DD2.2, на инверсном выходе D-триггера установится логическая единица, а на прямом выходе –логический нуль.  Таким образом, D-триггер воспринимает информацию с входа D и передает ее на выход Q при C=1, и затем хранит ее сколько угодно долго (пока подключен источник питания) при С=0. Т.е. мы имеем ячейку памяти для хранения 1 бита информации.

В JK-триггере устранена неопределенность, возникающая в RS-триггере при одновременном снятии активных логических сигналов с входов R и S.

Функциональная схема JK-триггера, построенного с использованием  двухтактных (двухступенчатых) RS-триггеров, приведена на рисунке 1.48,а, а его условное обозначение на принципиальных схемах – на рисунке 1.48,б.

 

Напомним, триггеры входят в состав счетчиков электрических импульсов, регистров, запоминающих устройств.

2. Регистр хранения

Регистр – внутреннее запоминающее устройство процессора или внешнего устройства, предназначенное для временного хранения обрабатываемой или управляющей информации. Регистры представляют собой совокупность триггеров, количество которых равняется разрядности регистра, и вспомогательных схем, обеспечивающих выполнение некоторых элементарных операций. Набор этих операций, в зависимости от функционального назначения регистра, может включать в себя одновременную установку всех разрядов регистра в "0", параллельную или последовательную загрузку регистра, сдвиг содержимого регистра влево или вправо на требуемое число разрядов, управляемую выдачу информации из регистра (обычно используется при работе нескольких схем на общую шину данных) и т.д.

Регистры хранения используются для приема, хранения и выдачи многоразрядного кода. Они представляют собой совокупность одноступенчатых триггеров (как правило, D-типа) с общим входом синхронизации. Иногда в регистре имеется также и общий вход асинхронной установки всех триггеров в "0". Схема четырехразрядного регистра хранения приведена на рис. 2.1, а его условно-графическое обозначение – на рис. 2.2.

Регистр сдвига

Регистр сдвига – регистр, обеспечивающий помимо хранения информации, сдвиг влево или вправо всех разрядов одновременно на одинаковое число позиций. При этом выдвигаемые за пределы регистра разряды теряются, а в освобождающиеся разряды заносится информация, поступающая по отдельному внешнему входу регистра сдвига. Обычно эти регистры обеспечивают сдвиг кода на одну позицию влево или вправо. Но существуют и универсальные регистры сдвига, которые выполняют сдвиг как влево, так и вправо в зависимости от значения сигнала на специальном управляющем входе или при подаче синхросигналов на разные входы регистра. Регистр сдвига может быть спроектирован и таким образом, чтобы выполнять сдвиг одновременно не на одну, а на несколько позиций.

Основной режим их работы - это сдвиг разрядов кода, записанного в эти триггеры, то есть по тактовому сигналу содержимое каждого предыдущего триггера переписывается в следующий по порядку в цепочке триггер. Код, хранящийся в регистре, с каждым тактом сдвигается на один разряд в сторону старших разрядов или в сторону младших разрядов, что и дало название регистрам данного типа.

В связи с названием направления сдвига в сдвиговых регистрах часто возникает путаница. Сдвиг бывает двух видов: вправо (основной режим, который есть у всех сдвиговых регистров) и влево (этот режим есть только у некоторых, реверсивных сдвиговых регистров). Названия эти отражают внутреннюю структуру регистров сдвига  и перезапись сигналов последовательно по цепочке триггеров. При этом триггеры, вполне естественно, нумеруются слева направо, например, от 0 до 7 (или от 1 до 8) для 8-разрядных регистров. В результате сдвиг информации регистром вправо представляет собой сдвиг в сторону разрядов, имеющих большие номера, а сдвиг информации регистром влево - это сдвиг в сторону разрядов, имеющих меньшие номера.




1. РЕЛИГИОЗНАЯ КАРТИНА МИРА.html
2. Диффузионные процессы в тонких слоях пленок при изготовление БИС методом толстопленочной технологии..
3. Польская диаспора в современной Армении
4. Все течет все изменяется
5. Восстановительный процесс субъекта системы специалист-специальность по годам обучения в зависимости от типа темперамент
6. дорогие граждан
7. Сервис на транспорте.html
8. Методичний аналіз уроку із всесвітньої історії 8 клас ldquo;Китай у ХVІ ~ ХVІІІ століттіrdquo; Основні
9. тема и содержание юридической психологии
10.  Основные виды общевойскового боя Наступление оборона 2
11. Реферат- Организационно-педагогические условия эффективного управления образовательными системами
12. тематиком по образованию Э
13. Комплексная механизация молочной фермы ООО АПФ Хотьково
14. Men 2209 ~ Менеджмент п~ні бойынша Экономика Маркетинг МжЖБ ~аржы Есеп ж~не аудит Статист
15. Какие поверхности подходят для нанесения наклеек Подойдут всевозможные гладкие поверхности- обои керам
16. Анализ ассортимента и экспертиза качества плодоовощных товаров
17. Специфика функционирования повтора в диалогическом дискурсе
18. Варган и бубен- два коня шамана
19. Анализ финансового состояния предприятия1
20. на тему- Класифіцація банківських операцій Виконав- студент 302Фкбс групи Микитюк Н