Будь умным!


У вас вопросы?
У нас ответы:) SamZan.net

Типи лічильників та особливості їх роботи 6

Работа добавлена на сайт samzan.net:


Розділ VI

ЛІЧИЛЬНИКИ  ІМПУЛЬСІВ

6.1. Типи лічильників та особливості їх роботи

6.1.1. Загальна характеристика лічильників

З попереднього розділу відомо, що лічильники імпульсів – це своєрідні скінченні автомати, які можуть проектуватись у відповідності до описаних у Розділі V правил і необхідного алгоритму функціонування.

У загальному плані лічильниками називаються цифрові пристрої (автомати), призначені для підрахунку і фіксації кількості імпульсів, що подаються на їх інформаційні входи або синхровходи. Назва “лічильники” використовується до будь-яких послідовнісних цифрових пристроїв із замкнутим циклом діаграми станів.

Як пристрої цифрової схемотехніки, лічильники характеризуються наступними основними параметрами. Статичний параметр – коефіцієнт перерахування (модуль перерахування) М – характеризує максимальну кількість імпульсів, яка може бути подана на лічильник, щоб привести його до початкового стану. Динамічні параметри лічильників характеризують їх швидкодію. Основний динамічний параметр – час установлення вихідного коду (tуст): це інтервал часу з моменту подачі вхідного імпульсу до моменту встановлення коду на виходах лічильника. Другий важливий динамічний параметр – дозволяюча спроможність лічильника (tсд), яка визначається як мінімальний інтервал часу між двома вхідними імпульсами. Величина

     (6.1)

називається максимальною частотою роботи лічильника.

Оскільки скінченні автомати можуть бути синхронними і асинхронними, то і, відповідно, ті групи автоматів, які спеціально призначені для підрахунку кількості імпульсів, можуть також бути синхронними і асинхронними.

У синхронних лічильниках, приклади яких приведені у Розділі V, синхросигнал на всі тригери подається одночасно, а зміна стану тригера відбувається лише тоді, коли на інформаційних входах будь-якого тригера підготовлені відповідні дані. В асинхронних лічильниках, які часто називають послідовними, вхідна послідовність імпульсів подається лише на перший тригер, а решта тригерів спрацьовує в залежності від зміни стану попереднього.

6.1.2. Асинхронні лічильники

Прикладом асинхронних (послідовних) лічильників є схема, що приведена на рис. 6.1, а.

Такі лічильники в своїй роботі використовують властивості Т-тригера, оскільки вони можуть як зберігати свій стан, так і додавати за модулем 2 вхідний сигнал до інформації, записаної попередньо. Одиночний Т-тригер ділить на 2 частоту вхідної послідовності імпульсів. Послідовне включення m таких тригерів дає можливість поділити частоту вхідних імпульсів у M = 2m разів, або утворює лічильник з коефіцієнтом перерахунку М (модуль рахунку, ємність лічильника).

Робота найпростішого двійкового лічильника (рис. 6.1, а) пояснюється даними, приведеними у Табл. 6.1 і часовими діаграмами, зображеними на рис. 6.1, б.

Перед подачею вхідних імпульсів тригери лічильника обнуляються. При подачі першого імпульсу на вхід С тригер, виконаний на мікросхемі DD1, у відповідності до його алгоритму роботи, змінює свій стан на протилежний. При цьому на його прямому виході з’явиться сигнал, еквівалентний логічній “1”, а на інверсному виході встановлюється логічний “0”. У відповідності до схеми, тригери з динамічною синхронізацією  спрацьовують за фронтом вхідного імпульсу. Стани решти тригерів не зміняться, оскільки другий тригер DD2 по своєму тактовому входу сприйме перепад з “1” в “0”, що матиме місце на інверсному виході першого тригера, а тригери DD3 і DD4 на своїх входах не матимуть ніяких змін. У результаті після першого вхідного імпульсу значення виходів тригерів відповідатимуть значенням, приведеним у Табл. 6.1 в другому рядку. Другий вхідний імпульс призведе до повторної зміни стану тригера DD1. Тепер на його інверсному виході матиме місце зміна стану з логічного “0” на логічну “1”, що сприймається тригером DD2 як фронт вхідного імпульсу. В результаті тригер DD2 змінить свій стан на протилежний, і на його виході Q2 з’явиться сигнал логічної “1”, у той час як вихід Q1 тригера DD1 перейде в нульовий стан (в умовних позначеннях виходів лічильників прийнято номери виходів поєднувати з їх ваговими коефіцієнтами). Цей стан відповідатиме третьому рядку таблиці, відповідно до якого виходи тригерів зафіксують число 2 у двійковому коді. Третій імпульс знову змінить лише стан тригера DD1, прямий вихід якого відповідає молодшому розряду двійкового коду, що відображає кількість імпульсів, що були подані на вхід С-.

Рис. 6.1, б ілюструє часові співвідношення між станами тригерів, що зафіксовані їх прямими виходами. Зміни станів тригерів прийняті миттєвими.

З Табл. 6.1 і часової діаграми (рис. 6.1, б) бачимо, що виходи тригерів лічильника в двійковому коді відображають кількість поданих на вхід імпульсів N, якщо ця кількість менша числа М.

Якщо на вхід лічильника подано N імпульсів, то їх кількість, підрахована за допомогою лічильника, відповідає формулі:

,      (6.2)

де K – кількість вихідних імпульсів лічильника;  – рівень сигналу на  i-ому  виході лічильника; 2i – вагові коефіцієнти кожного прямого виходу. Для урахування вагових коефіцієнтів виходи лічильника нумерують відповідними індексами. Це дає можливість з послідовності значень виходів Q8 Q4 Q2 Q, рівних, наприклад, 1011, одразу ж зчитувати вміст лічильника, тобто кількість імпульсів, яка менша М.

При N = M усі тригери лічильника обнуляються, і такий перехід фіксується зміною стану інверсного виходу тригера DD4 з “0” в “1”, що наступними аналогічними схемами повинно сприйматись як фронт вихідного імпульсу. Це дає можливість безпосереднього нарощування розрядності лічильників шляхом прямого з’єднання входу наступного лічильника з виходом попереднього. При однотипних лічильниках кількість тригерів подвоюється, і загальний коефіцієнт перерахунку визначатиметься формулою:

.

Звідси витікає, що при безпосередньому нарощуванні кількості лічильників загальний коефіцієнт перерахунку визначається добутком відповідних коефіцієнтів окремих лічильників.

Зазвичай код, що визначається вихідними станами тригерів, змінюється у зростаючому напрямку (додаючі лічильники). Операція збільшення вмісту лічильника на одиницю називається інкрементуванням. При зворотній зміні станів лічильники звуться віднімаючими, а якщо напрямок рахунку може змінюватися, то лічильник має назву реверсивного. Операція зменшення вмісту лічильника на одиницю називається декрементуванням. Напрямок рахунку визначається як динамічними властивостями тригерів (перемикання за фронтом чи за спадом), так і способом з’єднання виходів попереднього тригера зі входом наступного. Якщо, наприклад, у лічильнику використовуються тригери, які змінюють свій стан за фронтом синхроімпульсу, то для організації додаючого лічильника необхідно з’єднати вхід наступного тригера з інверсним виходом попереднього, а для створення віднімаючого лічильника для зв’язку використовуються прямі виходи тригерів. При застосуванні тригерів, що змінюють свій стан за спадом синхроімпульсу, вказані зв’язки повинні бути протилежними.

Прикладом віднімаючого лічильника може служити схема, що приведена на рис. 6.2.

Якщо всі тригери лічильника встановлені в одиничний стан, то при подачі першого вхідного імпульсу тригер DD1 змінить свій стан на протилежний, і на виході Q1 запишеться значення Q1 = 0. Тригер DD2 при цьому не змінить свій стан, оскільки на його вході матиме місце спад імпульсу, на який він не реагує. Наступний вхідний імпульс призведе до появи на Q1 сигналу одиничного рівня, при цьому тригер DD2 змінить свій стан, і на виходах тригерів зафіксується число Q8 Q4 Q2 Q1 = 11012 = 1310 . Подібний процес продовжуватиметься до повного обнуління тригерів, а шістнадцятий імпульс забезпечить встановлення всіх тригерів в одиничний стан.

Для чотирьохрозрядного додаючого лічильника з M = 24 = 16 початковим кодом, записаним на прямих виходах тригерів, є 0000, а кінцевим, після якого настає переповнення, – 1111. Останній код, звичайно, є початковим для віднімаючого лічильника. У випадку, коли в якості початкового коду віднімаючого лічильника прийнятий стан 0000, то поточні стани тригерів лічильника відображають від’ємне число зчитаних імпульсів, що представлене в допоміжному коді (див. Розділ I).

Порівнюючи схеми, приведені на рис. 6.1, а і рис. 6.2, легко дійти висновку щодо можливості побудови реверсивних лічильників, які завдяки керуючому входу могли б забезпечувати додавання чи віднімання вхідної послідовності імпульсів. Для цього між тригерами необхідно встановити схему, яка б забезпечувала керовану комутацію одного з двох виходів попереднього тригера на вхід наступного. Такою схемою, як відомо, є мультиплексор 2:1.

На рис. 6.3 приведена схема асинхронного реверсивного лічильника.

Рис. 6.3

Керуючий вхід  V  за допомогою двохвходових мультиплексорів DD2, DD4, DD6 забезпечує комутацію виходів тригерів DD1, DD3, DD5 на входи наступних – відповідно, DD3, DD5, DD7. При значенні сигналу на цьому вході V = 1 забезпечуватиметься підключення інверсних виходів і лічильник працюватиме на інкрементування вмісту, при V = 0 забезпечуватиметься режим декрементування.

R – асинхронний статичний вхід загального скидання, за яким прямі виходи всіх тригерів встановлюються в “0”.

За один цикл роботи лічильник приймає 2m станів. Тому, з точки зору теорії скінченних автоматів, розглянутий лічильник – це асинхронний скінченний автомат з замкнутим циклом роботи, в якому перехід з одного стану до іншого забезпечується вхідними інформаційними імпульсами.

Кожен стан кодується m-розрядним двійковим словом. Граф-схема лічильника за модулем 8 як скінченного автомата (діаграма станів) приведена на рис. 6.4.

Особливість розглянутих схем лічильників полягає в тому, що для зміни значення одного з старших розрядів кодового слова повинні змінюватись і всі молодші розряди. Фактично це означає, що перед зміною стану тригера, що зберігає інформацію про вміст старших розрядів кодового слова, повинні змінити свій стан і всі попередні тригери. Якщо прийняти, що зміна стану одного тригера проходить за інтервал часу затримки tЗ , то між моментом подачі вхідного імпульсу і зміною стану тригера старшого розряду має місце часова затримка tЗM = m tЗ . Іноді цей параметр називається часовою спроможністю лічильника. Фізично параметр tЗM визначає мінімальний інтервал часу між двома вхідними імпульсами, при якому лічильник в найгіршому режимі перемикання встигає їх відпрацювати. Ця максимально можлива величина часової затримки визначає швидкодію лічильників при перерахунку імпульсних послідовностей. Максимально можлива частота вхідної послідовності імпульсів визначається за формулою

.      (6.3)

Тому послідовний спосіб забезпечення перерахунку суттєво обмежує швидкодію двійкових лічильників. Пристрої такого типу називаються лічильниками з послідовним переносом.

Існують лічильники з іншими видами кодування станів виходів тригерів – наприклад, унітарним – коли стан лічильника представляється кількістю записаних в ньому одиниць; одинарним – коли стан лічильника визначається розташуванням однієї одиниці.

6.1.3. Лічильники з довільним модулем рахунку

Лічильники з довільним модулем рахунку мають значення М, що відрізняється від цілого ступеню числа 2. Прикладами таких лічильників можуть служити пристрої з M = 10; M = 12; M = 24; M = 60 і т. д. На практиці доводиться мати справу з лічильниками, призначеними для ділення частоти вхідних послідовностей імпульсів у сотні, тисячі і десятки тисяч разів, і далеко не завжди коефіцієнт ділення може бути кратним 2m  (m – ціле число).

При побудові лічильників цього типу використовують такі способи:

  •  виключення зайвих станів;
  •  зворотного зв’язку;
  •  кратних модулів.

Найбільшого розповсюдження набув спосіб виключення зайвих станів. Його реалізація переважно здійснюється наступним чином:

  •  застосуванням попередньої установки лічильника;
  •  використанням примусового його обнуління.

На рис. 6.5 приведена схема лічильника, в якому попереднє завантаження початкового стану забезпечується через асинхронні S-входи  Т-тригерів за допомогою логіки DD1…DD4 і керуючого входу РЕ (паралельного завантаження). Через входи D, D, D, D8 у лічильник може бути записаний будь-який двійковий код у діапазоні 0000 – 1111, значення якого буде зафіксоване на виходах Q, Q, Q, Q. Запис коду забезпечується до початку подачі вхідної послідовності імпульсів на С-вхід. Тому з моменту подачі вхідних імпульсів лічильник рахуватиме, починаючи не з нуля, а з занесеного коду.

 

Кількість імпульсів  N , що може бути подана на С-вхід лічильника до переповнення, обчислюється за формулою:

N = M – D,

тобто з  М  станів лічильника виключається  D  перших станів.

Приклад 6.1. Забезпечити коефіцієнт перерахунку лічильника з попередньою установкою рівним 10 на одному циклі роботи.

Розв’язання. Для забезпечення коефіцієнта перерахунку 10 необхідно на входах D1 ÷ D8 встановити в двійковому коді число 1610 – 1010 = 610 і подати короткочасний одиничний імпульс на вхід РЕ. В результаті такої дії в лічильник запишеться код, еквівалентний послідовній подачі шести імпульсів. Після дії 10 вхідних імпульсів лічильник обнулиться і без перезапису коду 01102 = 610 почне відлік з нуля.

На рис. 6.6 приведені умовні позначення двійкового лічильника (рис. 6.6, а) та лічильника з попередньою установкою (рис. 6.6, б).

На відміну від двійкового лічильника, в позначенні лічильника з попередньою установкою зображені входи D (D1 ÷ D8) і допоміжний вхід паралельного завантаження РЕ. Особливість таких лічильників полягає в тому, що при циклічній роботі після завершення кожного циклу необхідно перезаписувати початковий код. Крім того, необхідно враховувати, що двійковий вихідний код у такому лічильнику при D ≠ 0 не відповідає кількості імпульсів, поданих на вхід С.

Незважаючи на це, лічильники цього класу знаходять широке використання. Як приклад, можна назвати блок адресації у мікропроцесорах. Вихідний двійковий код лічильника задає адресний простір, за яким процесор звертається до зовнішніх пристроїв та пам’яті. При необхідності переходу на нову програму в лічильник завантажується початкова адреса необхідної програми, і він починає формувати послідовно її адреси.

При використанні в якості віднімаючого, вихідний код лічильника зменшуватиметься від записаного, що широко використовується в різноманітних таймерах. При зменшенні коду до нуля наступним імпульсом лічильник встановиться в код 1111, якщо перед цим знову не буде записаний початковий код.

Приклад 6.2. Обґрунтувати можливість побудови таймера з програмованою затримкою від 0 до 99 секунд і відображенням поточної затримки за допомогою семисегментних індикаторів.

Пояснення. Для побудови таймера необхідно використати віднімаючий лічильник з попередньою установкою. Лічильник повинен мати 7 тригерів (27 = 128). Але відображати двійковий код за допомогою семисегментних індикаторів складно, оскільки необхідно встановлювати перетворювач двійкового коду в двійково-десятковий.

Задача розв’язується простіше, якщо використовувати два чотирьохрозрядні десяткові лічильники і забезпечувати в них циклічний перезапис встановленого коду після кожного обнуління.

Примусове обнуління характеризується тим, що із загальної кількості станів М виключаються ті, які своїми значеннями перевищують встановлений модуль перерахунку M. Якщо, наприклад, лічильник з  M = 16 повинен мати MB = 12, то всі значення, котрі перевищують 12, повинні бути виключені. Тобто створення лічильника з модулем перерахунку  MB  досягається виконанням двох умов:

  •  обнуління лічильника при досягненні поточним кодом значення M;
  •  виключення всіх станів, що перевищують  M.

Перша умова забезпечується, якщо сигнал обнуління:   R = M.

Для лічильника з  MB = 12 маємо:    .

а

б

в

Рис. 6.7

На рис. 6.7, а приводиться приклад схеми двійкового лічильника з  MB = 12,  а на рис. 6.7, б – часові діаграми, що пояснюють його роботу. Як витікає з часових діаграм, за зрізом дванадцятого вхідного імпульсу на виходах Q4 та Q8 з’являються одиничні сигнали, які створюють сигнал обнуління R усіх тригерів. Враховуючи те, що стан лічильника Q, Q, Q, Q = 0011 є короткочасним (він існує протягом часу обнуління тригерів лічильника). Його можна вважати перехідним і віднести до неробочих.

Таким чином функція виключення зайвих станів, яка забезпечує обнуління лічильника, виглядає наступним чином:

,

яка після мінімізації за допомогою карти Карно (рис. 6.7, в) прийме вигляд

.

Спосіб зворотного зв’язку використовується досить рідко. Особливість цього способу полягає у наступному. Припустимо, що лічильник містить у собі n тригерів. Виділимо з цієї групи меншу – з  n2  тригерами, тоді n = n1 + n. У виділеній групі заводиться зворотній зв’язок так, щоб при появі одиниць на виходах тригерів перший тригер скидався в нуль. Тоді коефіцієнт перерахунку в цій групі становитиме , а загальний коефіцієнт перерахунку:

.    (6.4)

Спосіб кратних модулів пояснюється схемою, що приведена на рис. 6.8.

Лічильники СТ1, СТ2, СТ3 з модулями рахунку, відповідно, M, M, M3 з’єднані по входу паралельно, а їх виходи об’єднані елементом . Імпульс на виході з’явиться лише тоді, коли одночасно на виходах всіх лічильників з’являться вихідні імпульси. У такій схемі частота імпульсів вхідної послідовності fвх буде ділитися на коефіцієнт M = M1 M2 M, і частота вихідних імпульсів матиме значення:

.      (6.5)

6.1.4. Синхронні лічильники

У Розділі V в якості скінченних автоматів розглядалися лічильники, в яких синхросигнали та інформаційні сигнали, що записуються в тригери, розділені по входу. В таких схемах інформаційний сигнал, що записується у тригер, підготовляється одразу після встановлення попереднього значення, а синхросигнал подається паралельно на всі тригери. Час підготовки tП – це час, який необхідно враховувати як час розповсюдження сигналу через допоміжні логічні елементи, і він завжди має величину, значно меншу, ніж час перемикання одиночного тригера. Тому швидкодія подібного типу лічильників має бути значно більшою, ніж швидкодія лічильників з послідовним переносом. Лічильники такого типу називаються лічильниками з паралельним переносом.

Виходячи з цього, розглянемо синтез синхронного лічильника з паралельним переносом з використанням JK-тригерів, який забезпечить коефіцієнт  M = 8.

Таблиця станів лічильника, а також сигнали управління J та K входів тригерів приведені у Табл. 6.2 (умовним позначенням * зображений байдужий стан відповідного входу).

       Табл. 6.2

Cn

Q4n

Q2n

Q1n

Q4(n+1)

Q2(n+1)

Q1(n+1)

J4

K4

J2

K2

J1

K1

0

0

0

0

0

0

1

0

*

0

*

1

*

1

0

0

1

0

1

0

0

*

1

*

*

1

2

0

1

0

0

1

1

0

*

*

0

1

*

3

0

1

1

1

0

0

1

*

*

1

*

1

4

1

0

0

1

0

1

*

0

0

*

1

*

5

1

0

1

1

1

0

*

0

1

*

*

1

6

1

1

0

1

1

1

*

0

*

0

1

*

7

1

1

1

0

0

0

*

1

*

1

*

1

Використовуючи карти Карно для входів J, K, J, K, J, K1 (рис. 6.9), знаходимо вирази для сигналів їх збудження. Відповідно, схема лічильника приведена на рис. 6.10.

Узагальнюючи отриманий результат, можна стверджувати, що, якщо для лічильника з  M = 2:

J1 = 1;  K1 = 1;

для лічильника з  M = 4:

J2 = Q;  K2 = Q;

для лічильника з  M = 8:

J4 = Q1 Q2 = J2 Q;  K4 = Q1 Q2 = K2 Q;

то для лічильника з  M = 16 матимемо:

J8 = Q1 Q2 Q4 = J4 Q;  K8 = Q1 Q2 Q4 = K4 Q.

Відповідно, для лічильника з  M = N :

JN = Q1 Q2 …Q(– 1) = J(– 1) Q(– 1) ;        KN = Q1 Q2 …Q(– 1) = K(– 1) Q(– 1) .

Для синхронних лічильників зворотного рахунку (віднімаючих) аналогічно можемо записати:

За аналогією з асинхронними лічильниками, з використанням допоміжної логіки синхронні лічильники можуть бути реверсивними.

Прикладом лічильника з паралельним переносом є мікросхема КМОН  К1561ИЕ10, спрощена схема якої приведена на рис. 6.11.

Лічильник виготовлений на D-тригерах DD4…DD7, які працюють у режимі Т-тригерів. Допоміжна логіка DD1…DD3 забезпечує швидкий доступ послідовності імпульсів, що підраховуються, до синхровходу. При подачі сигналу високого рівня на R-вхід усі прямі виходи тригерів Q, Q, Q, Q8 встановлюються у нульовий стан. За зрізом першого тактового сигналу вихід Q1 тригера DD4 встановлюється в “1” і цим підготовлює доступ синхросигналу до синхровходу тригера DD5. Другий імпульс своїм зрізом встановить тригер DD4 у початковий стан, а DD5 – в “1”.

Цей процес триватиме доти, поки всі тригери не будуть встановлені у стан, при якому Q1 = Q2 = Q4 = Q8 = 1. Наступний за цим (шістнадцятий) синхроімпульс встановить всі тригери в нуль, і цикл закінчиться.

Як випливає з роботи лічильника, всі тригери сприймають імпульси вхідної послідовності практично одночасно, аналогічно відбувається зміна їх станів, що забезпечує високу швидкодію лічильника. Фактично вона визначається часом перемикання тригера і незначною, порівняно з ним, затримкою вхідної логіки.

З аналізу роботи лічильника бачимо, що перемикання кожного наступного тригера при приході чергового імпульсу має місце лише тоді, коли всі попередні тригери встановлені в “1”. Математично це можна виразити наступною формулою:

,    (6.6)

де Qin – стан  i-го тригера до подачі імпульсу; pi = Q0n ∙ Q1n ∙ … ∙ Q(i – 1)n – узагальнений сигнал перенесення.

У розглянутих лічильниках, на відміну від пристроїв з послідовним перенесенням, напрямок рахунку не залежить від типу динамічного входу тригера, а визначається виключно тим, який з виходів тригера (прямий чи інверсний) використовується для формування сигналу перенесення. Оскільки ми впевнились, що лічильник, схема якого приведена на рис. 6.11, є додаючим, то при використанні інверсних виходів тригерів отримаємо лічильник віднімаючий. Таким чином алгоритм перемикання в реверсивних лічильниках (додавання/віднімання) залишається незмінним.

Недоліки лічильників з паралельним перенесенням полягають, перш за все, у критичності до тривалості вхідних імпульсів та їх фронтів. Тому при використанні таких пристроїв слід звертати увагу на довідкові дані по цим параметрам. Обумовлено це тим, що, незважаючи на те, що тригери лічильника перемикаються майже одночасно і час установки двійкового коду tКУ на виході лічильника фактично визначається часом установки тригера t, але для підготовки до наступного перемикання необхідно, щоб пройшов деякий час, який називається часом підготовки tП . За цей час послідовно формуються сигнали перенесення на всіх входах елементів І. Величина tП залежить від встановленого в попередньому такті коду.

Складність практичної реалізації лічильника з великим значенням модуля М є другим їх недоліком. Це обумовлено ускладненням логіки переносу з великою кількістю входів.

Задача нарощування лічильників розв’язується декількома шляхами. Наприклад, у мікросхемі К1561ИЕ10 синхровхід запаралелюється через елемент АБО допоміжним інверсним входом . Цей вхід дає можливість організувати рахунок як за фронтом імпульсу, так і за зрізом, а при послідовному нарощуванні використовується як вхід асинхронного вводу від виходу Q8 попереднього лічильника. Такий спосіб нарощування називається комбінованим (послідовно-паралельним).

На практиці часто використовуються структури з комбінованим паралельно-паралельним перенесенням. Особливість такого перенесення ілюструється рис. 6.12. За аналогією зі схемою рис. 6.11, логічні елементи DD1…DD3 забезпечують паралельне перенесення від лічильників DD4…DD7. Формування сигналів перенесення CR1CR4 з кожного лічильника виконують логічні елементи DD8…DD11 при умові, що виходи  Q1Q8 кожного з лічильників встановлені в “1”. У такій структурі лічильника швидкодія визначається часом установки коду tКУ . Ця величина практично визначається часом установки коду в межах одного лічильника. Час підготовки tП max у лічильнику визначається сумою затримок у логічних елементах І. Тому максимальна частота перемикання визначатиметься як:

.    (6.7)

6.2. Серійні мікросхеми лічильників та їх використання

Властивості лічильників в основному визначаються тими зв’язками, які закладені в них для передачі вхідної послідовності імпульсів на входи тригерів, а також для передачі сигналів стану тригерів молодших розрядів на інформаційні входи наступних. Найчастіше використовують такі типи зв’язків: безпосередній; за допомогою кіл послідовного перенесення; за допомогою кіл паралельного перенесення.

Методологія побудови лічильників ТТЛ- і КМОН-технологій майже однакова, але більші можливості інтеграції КМОН-технологій дозволяють розширити функціональні можливості цієї елементної бази.

Розглянемо особливості побудови і використання лічильників обох технологій.

6.2.1. Лічильники ТТЛ

Прикладом пристрою з безпосереднім зв’язком є лічильник КР1533ИЕ5, схема якого приведена на рис. 6.13.

Лічильник складається з чотирьох JK-тригерів DD1…DD4, які працюють в режимі Т-тригерів. Тригер DD1 має вільний вихід і може використовуватись для ділення на 2 частоти імпульсів, що поступають на вхід C. Тригери DD1…DD4 утворюють лічильник з коефіцієнтом перерахунку M = 8 для імпульсної послідовності, що подається на вхід C.  При з’єднанні виходу Q1 тригера DD1 з входом C1 тригера DD2 утворюється лічильник з M = 16. Входи R0 і R1 забезпечують два можливі режими: режим блокування та режим перерахунку.

У довідковій літературі для пояснення режимів роботи лічильника приводяться таблиці станів. Перша з них (Табл. 6.3) відображає залежність станів виходів лічильника від кількості вхідних імпульсів при M = 16.  Друга таблиця (Табл. 6.4) визначає залежність виходів тригерів лічильника Q1Q8 від значень входів R, R.

У якості динамічних параметрів задається час розповсюдження сигналу від входу до виходу. Для лічильника з двома входами, що розглядається, часові затримки приводяться у Табл. 6.5.

Нарощування таких лічильників з метою збільшення коефіцієнта М досягається шляхом з’єднання виходу Q8 одного лічильника з входом C0 або C1 іншого.

Приклад 6.3. Використовуючи лічильник КР1533ИЕ5, розробити схему лічильника з M = 128 .

Розв’язання. Враховуючи, що  M = M1 M,  знаходимо M:

.

Отже, другий лічильник повинен мати коефіцієнт перерахунку, рівний 8.

Тому загальна схема лічильника прийме вигляд, приведений на рис. 6.14. Перший лічильник DD1 має M1 = 16,  а другий DD2 –   M2 = 8.

Для обнуління станів лічильника використовуються входи R0 і R,  які також є асинхронними, і при високому рівні сигналу на них всі виходи лічильника приймають нульовий (низький) рівень.

Використовуючи об’єднання по І входів обнуління R0 і R, лічильник забезпечує можливість створити будь-який модуль перерахунку (за винятком  M = 3)  від двох до шістнадцяти.

Аналогічну структуру мають лічильники ИЕ2 та ИЕ4. Різниця полягає в тому, що в ИЕ2 три тригери об’єднані в схему, що дає M = 5, а в ИЕ4 – аналогічно, три тригери забезпечують модуль M = 6. Перша мікросхема легко реалізує схему ділення на 10, а друга – схему ділення на 12.

Близькі за принципом роботи двійкові асинхронні лічильники – мікросхеми ИЕ19. В них розміщується два лічильники з динамічним входом (відлік за зрізом) і потенційним низькорівневим асинхронним входом обнуління.

Лічильник ИЕ14 має структуру, аналогічну лічильнику ИЕ2 (тригери розділені на дві групи: на одному тригері забезпечується коефіцієнт ділення на 2, а на решті трьох – коефіцієнт ділення на 5). У той же час, ИЕ14 має значно більші функціональні можливості завдяки використанню JK-тригерів та допоміжної керуючої логіки.

На рис. 6.15, а приведена схема модулю з M = 2. Умовне зображення лічильника приводиться на рис. 6.15, б. Вибір режимів лічильника забезпечується за допомогою Табл. 6.6.

а

б

Рис. 6.15

Як і в попередніх розглянутих схемах, для забезпечення модулю перерахунку M = 10 необхідно з’єднати вихід Q1 із входом C, а імпульси для підрахунку подавати на вхід C. Коефіцієнт M = 5 забезпечується при подачі вхідних імпульсів на C. Оскільки лічильник декадний, то він часто використовується в схемах для перетворення кількості вхідних імпульсів у двійковий код, який через дешифратори подається на семисегментні індикатори. Тому при побудові таких схем слід пам’ятати, що лічильник асинхронний і значення його станів Q1Q8 встановлюються неодночасно. Застосовані в таких схемах дешифратори повинні мати вхід дозволу, щоб не передавати на індикатори хибні коди.

Лічильник ИЕ15 – двійковий лічильник з попередньою установкою, асинхронний, виконаний за структурою, подібною до ИЕ14.

Лічильники, які виготовляються за схемами, подібними до ИЕ5, характеризуються простотою, легкістю нарощування, високою надійністю при змінній частоті і тривалості вхідних імпульсів. Їх недолік полягає у значній затримці перемикання, величина якої залежить від коефіцієнта перерахунку.

Прикладом синхронних лічильників з попередньою установкою є мікросхеми ИЕ6 та ИЕ7. Обидва вони реверсивні, ИЕ6 – двійково-десятковий, а ИЕ7 – двійковий. Умовні позначення цих лічильників приводяться на рис. 6.16, а – б відповідно. Структурно вони побудовані за принципом, аналогічним рис. 6.13, з одночасним перемиканням всіх підготовлених тригерів.

Тактові входи CU – для відліку на збільшення та CD – на зменшення вихідного коду лічильника є прямими, динамічними та розділені між собою. Це суттєво розширює їх можливості, наприклад, для використання в якості частотних інтеграторів. Напрямок відліку (на збільшення чи зменшення) визначається тим, на який з тактових входів подаються позитивні (0 → 1) перепади вхідних імпульсних послідовностей.

Робота лічильників пояснюється відповідною таблицею станів, що приведена в Табл. 6.7.

Для забезпечення попереднього запису за допомогою входів D1D8 необхідно забезпечити подачу низьких рівнів сигналів на входи R і . Значення сигналів на динамічних входах – довільні.

Для спрощення побудови лічильників шляхом з’єднання декількох  мікросхем з метою збільшення коефіцієнта М мікросхеми мають виводи сигналів закінчення відліку на збільшення  та на зменшення .  Інверсні значення цих сигналів забезпечують можливість отримання фронту імпульсу на цих виходах при обнулінні вмісту лічильника після переповнення. Завдяки цьому в наступний лічильник запишеться “1”. З іншого боку, при появі 9-го (15-го) імпульсу є можливість без допоміжної логіки забезпечити перезапис даних, що подаються на D-входи.

Приклад 6.4. Використовуючи лічильник ИЕ7, розробити схему для забезпечення циклічного режиму роботи з коефіцієнтом перерахунку M = 12.

Розв’язання. Для забезпечення коефіцієнта M = 12 необхідно організувати циклічний перезапис по входах D D8 числа 410 = 0100. Тому схема матиме вигляд, приведений на рис. 6.17.

Приклад 6.5. Використовуючи попередній приклад, розробити схему лічильника з коефіцієнтом перерахунку M = 144.

Розв’язання. Виходячи з того, що M = 144 = M1 M2 = 12 ∙ 12, необхідно послідовно з’єднати два лічильники з M = 12, тобто приєднати вихід  першого лічильника до входу CU другого лічильника, забезпечивши для кожного з них з’єднання входів і виходів у відповідності до рис. 6.17.

Згідно з Табл. 6.7, входи R та  є асинхронними, потенційними і пріоритетними, порівняно з входами CU і CD , тобто при дії сигналу R (високий рівень) всі виходи лічильника QQ8 встановлюються в нуль. Аналогічно, при дії низького рівня на вході  значення виходів встановлюються в відповідності зі значеннями сигналів на входах D1D.

При нарощуванні мікросхем лічильників входи  і R об’єднуються загальними шинами і використовуються як загальні входи для всіх мікросхем.

Приклад 6.6. Використовуючи лічильник ИЕ7, розробити схему лічильника з коефіцієнтом перерахунку M = 177.

Розв’язання. Враховуючи, що M = 177 не може бути записаний у вигляді добутку M1 і M2 двох окремих лічильників, схема може бути побудована як лічильник з M = 256 = 16 × 16 з попередньою установкою числа (256 – 177)10 = 7910 = 10011112 з циклічним перезаписом після переповнення. Внаслідок цього отримуємо схему, що приведена на рис. 6.18.

Близькими до розглянутих ИЕ6, ИЕ7 є відповідно лічильники ИЕ16, ИЕ17. Вони мають дещо іншу логіку керування, її особливість відображена в умовному позначенні (рис. 6.19) та таблиці станів мікросхем (Табл. 6.8).

Мікросхеми мають один тактовий вхід С, а зміна напрямку відліку забезпечується статичним сигналом . Паралельне завантаження даних по входах D1D8 дозволяється низьким рівнем сигналу на вході . При цьому відлік зупиняється, і за фронтом синхроімпульсу С дані з шини D записуються в лічильник. Для каскадного з’єднання мікросхем використовуються два входи –  і . Вхід  наступного лічильника з’єднується з виходом  попереднього. Входи  об’єднуються в загальну шину допоміжного дозволу. За більш детальною інформацією по каскадному з’єднанню таких лічильників слід звернутися до спеціальної літератури.

У практиці проектування цифрових пристроїв мікросхеми ИЕ16 та ИЕ17 знаходять значно менше використання, ніж ИЕ6 та ИЕ7.

Своєрідним лічильником є мікросхема ИЕ8, яка має спеціальне призначення – програмоване ділення частоти вхідних імпульсних послідовностей. Умовне позначення мікросхеми приводиться на рис. 6.20.

Вихідними виводами є вихід переносу , прямий вихід Q і комплементарний  – виходи для виводу кількості імпульсів у відповідності до коефіцієнта перерахунку. Частота імпульсів на виході Q при подачі на вхід  С імпульсної послідовності з частотою fвх обчислюється за формулою:

,

(6.8)

де Di можуть приймати значення 1 або 0.

Зрозуміло, що найбільш широко використовується кратне ділення частоти на 2i, оскільки в такому випадку отримується симетрична вихідна послідовність. Але мікросхема забезпечує довільний (у заданих межах) коефіцієнт ділення, при цьому вихідна послідовність матиме різні інтервали часу між імпульсами.

Для обнуління лічильника використовується асинхронний вхід R, високий рівень на якому при одночасному високому рівні на вході  забезпечує обнуління всіх тригерів лічильника, а також переведення виходу  і  Q  у стан високого потенціалу.

Сигнал дозволу, що подається на вхід  (Enable Input), має низький рівень, але для забезпечення режиму ділення частоти необхідно, щоб одночасно і на вхід  також подавався сигнал низького рівня. Зупинка роботи мікросхеми забезпечується високим рівнем сигналу на вході . Вихід CEP використовується для нарощування мікросхем.

Функціонально мікросхема складається з двох вузлів – безпосередньо синхронного лічильника з попередньою установкою на шести D-тригерах та логічної схеми вибору імпульсів, побудованої на багатовходових логічних елементах І та АБО. Алгоритми побудови програмованих лічильників досить широко використовуються в задачах мікропроцесорної техніки, тому, не вдаючись у детальний аналіз роботи мікросхеми, розглянемо його на прикладі схеми, що приведена на рис. 6.21, а. Часові діаграми, які пояснюють роботу лічильника приведені на рис. 6.21, б.

За фронтом синхроімпульсу  С  вихід  Q  тригера, підготовленого по входу D, встановлюється в “1” і цим забезпечує можливість при наявності дозволяючих входів S і Di отримати на виході Qf вихідної послідовності імпульсів, частота яких співпадає з частотою зміни високого рівня на прямому виході тригера. Такий алгоритм формування дозволяючих інтервалів для передачі вхідних імпульсів може бути легко реалізований для широкої гами задач. Для цього необхідно побудувати часові діаграми на прямих та інверсних виходах тригерів на одному циклі перерахунку і на їх основі встановити логічні залежності, які необхідно використати для задач вибору вхідних імпульсів.

Звертаючись, наприклад, до часових діаграм, що приведені на рис. 6.1, б, можемо встановити, що для забезпечення коефіцієнта ділення на 4 (тобто отримання на виході чотирьох імпульсів з шістнадцяти) необхідно, щоб на вхід логічного елемента вибору (DD3 на рис. 6.21, а) був поданий дозволяючий сигнал  або . Таким шляхом можна забезпечити широкий діапазон коефіцієнтів ділення з симетричним або несиметричним формуванням вихідної імпульсної послідовності.

Група лічильників ИЕ9, ИЕ10, ИЕ11, ИЕ13, ИЕ18 – це синхронні лічильники, близькі по своїй структурі. Лічильник ИЕ9 – двійково-десятковий, решта – двійкові. Різниця в логіці їх функціонування досить незначна, тому розглянемо лише особливості роботи мікросхеми ИЕ9. Умовне позначення її приведене на рис. 6.22, а таблиця станів – у Табл. 6.9.

Внутрішня логіка лічильників побудована таким чином, що забезпечується висока швидкодія, а наявність допоміжних входів дозволу CEP (паралельний) та CET (допоміжний) дає можливість використання схем прискореного переносу та нарощування розрядності лічильників на базі цих мікросхем.

Установка тригерів лічильника (режим паралельного завантаження) відбувається синхронно і незалежно від значень сигналів на входах  CEP  і  CET.  Процедура завантаження полягає в тому, що при низькому рівні сигналу  режим відліку зупиняється, і за фронтом синхросигналу дані з шини  D  завантажуються в тригери лічильника.

На рис. 6.23 приводиться схема з’єднання чотирьох мікросхем ИЕ9 у швидкодіючий синхронний 16-розрядний лічильник.

При роботі з мікросхемою слід дотримуватись ряду обмежень. На входах  CEP  і  CET  не допускаються перепади з високого рівня до низького, якщо на вході  С-  низький потенціал. Недопустимо подавати позитивний перепад (0 → 1) на вхід , якщо на С маємо сигнал низького рівня, а на  CEP  і  CET  – високого. Сигнали на входах  CEP  і  CET  можна змінювати, якщо на С присутній потенціал низького рівня [Шило]. Більш детально особливості використання мікросхем приводяться в довідковій літературі.

6.2.2. Лічильники КМОН

Лічильники КМОН, як і ТТЛ-серій, призначені для підрахунку кількості імпульсів та ділення частоти. Лічильники для підрахунку імпульсів умовно розділяють на спеціалізовані – призначені для використання в електронних годинниках, таймерах, пристроях для організації часових затримок, а також універсальні – пристрої загального призначення.

Мікросхеми серії 176 (ИЕ1…ИЕ8) були замінені більш пізніми серіями КР561 (CD4000A) та КР1554 (74AC), хоча група мікросхем ИЕ2, ИЕ3, ИЕ4, ИЕ5 знаходить досить широке використання в радіолюбительській практиці. Лічильники останніх серій знаходять досить широке використання в цифровій схемотехніці.

Слід зазначити, що, починаючи з серії 1554, функціональне призначення мікросхеми визначається її номером і є спільним як для ТТЛ, так і для КМОН. Тому, наприклад, лічильники ИЕ6 серій КР1533 і КР1554 є повністю еквівалентними за своїми функціональними можливостями. У мікросхемах основних виробників зарубіжжя така особливість закладалась з самого початку.

Найширше використання у промислових розробках знайшла серія 561 (564), яка мала свої аналоги серед мікросхем CD4000A. У цій серії мікросхеми лічильників виготовлялись як на основі схемотехніки, описаної вище, так і на основі використання лічильників Джонсона (ИЕ8, ИЕ9, ИЕ19), які будуть розглянуті пізніше.

Мікросхема 561ИЕ10 містить два незалежні чотирьохрозрядні двійкові лічильники з паралельним переносом. Умовне позначення одного з них приведене на рис. 6.24, а на рис. 6.25 зображена структурна схема, що пояснює особливості його функціонування.

Лічильник побудований на основі D-тригерів, які працюють в режимі Т-тригера. Тактовий вхід С і дозволяючий V, об’єднані елементом АБО, взаємно інверсні. Це дає можливість взаємозаміняти їх, а також організовувати відлік за фронтом чи спадом тактового імпульсу. Режими роботи лічильника приведені у Табл. 6.10. При необхідності нарощування лічильників можна використовувати як послідовне, так і паралельне формування переносу. При послідовному вихід Q8 попереднього лічильника необхідно з’єднати з входом V наступного, а вхід С приєднати до потенціалу низького рівня.

Лічильники ИЕ10 мають можливість зменшення модулю М. Але для забезпечення M < 24 необхідно використовувати зовнішні елементи і враховувати, що лічильник обнуляється сигналом високого рівня на вході R. Обнуління при заданому М можна забезпечити як автоматичним (подібно до рис. 6.7, а), так і керованим. На рис. 6.26 приводиться приклад керованого обнуління для M = 10 за допомогою зовнішнього сигналу високого рівня M. Такий спосіб, як відмічалося вище, дає можливість змінювати коефіцієнти перерахунку лічильника відповідно до вимог. У приведеній схемі маємо  M = 16 при  M0 = 0  і  M = 10 при  M0 = 1.

Для забезпечення паралельного переносу декількох лічильників використовується схема, що приведена на рис. 6.27. Кожен лічильник разом із чотирьохвходовим елементом І-НІ створює каскад, який з’єднується з аналогічними на основі паралельного переносу.

Мікросхеми 561ИЕ11 і 561ИЕ14 є чотирьохрозрядними реверсивними лічильниками з паралельним переносом і мають багато спільного як з мікросхемами ТТЛ (ИЕ16 і ИЕ17), так і між собою. Різниця між ними полягає лише в тому, що 561ИЕ11 має M = 16 і вхід R загального обнуління, а 561ИЕ14 має вхід перемикання M = 16 / M = 10, але вхід  R  відсутній. Умовне позначення мікросхеми ИЕ11 приведене на рис. 6.28, а режими роботи і відповідні їм вхідні сигнали – у Табл. 6.11.

Запис попередньої інформації з входів D в усі тригери забезпечується одночасно високим рівнем сигналу на вході WR. Запис виконується до подачі сигналів на вхід С. Вхід   – дозволяючий. Високий рівень сигналу на цьому вході забезпечує зупинку режиму відліку, але інформація в лічильнику зберігається. Вихід переносу  використовується для нарощування розрядності лічильників. Поточний рівень сигналу на цьому виході – високий. Низький рівень на ньому виникає в режимі відліку на збільшення при Q1 = Q2 = Q4 = Q8 = 1, а в режимі відліку на зменшення – при Q1 = Q2 = Q4 = Q8 = 0. Використання виходу  дає можливість нарощувати розрядність мікросхеми, використовуючи як послідовний, так і паралельний переноси. Забезпечити циклічний режим роботи з використанням попереднього запису без допоміжної логіки неможливо.

Мікросхема 561ИЕ156 (564ИЕ15) не має аналогів в ТТЛ ІС. Це програмований лічильник з одним виходом, який працює лише в режимі ділення. Коефіцієнт ділення  Kд  задається відповідними установками рівнів вхідних сигналів і може мати будь-яке значення в межах від 3 до 21327 з кроком 1. Лічильник може працювати у двох режимах:

  •  безперервної дії, коли на виході отримується послідовність імпульсів частотою  (з тривалістю імпульсів );
  •  одноразового відліку, при якому після подачі на вхід Kд імпульсів вихідний сигнал змінюється з низького рівня на високий.

Умовне зображення мікросхеми приведене на рис. 6.29.

Для визначення рівнів сигналів, що подаються на входи J1J16 мікросхеми, використовується формула:

,  (6.9)

де М – модуль, який може мати наступні значення: 2, 4, 5, 8, 10; PТ – множник тисяч, приймає значення від 0 до 7; PС , PД , PО – множники сотень, десятків, одиниць;  PЗ  – залишок від ділення.

Числа PС , PД , PО при використанні формули (6.9) можуть бути задані як у десятковій, так і шістнадцятковій системах числення, але для введення у мікросхему вони повинні попередньо бути перетворені в двійковий код (див. Розділ I).

Входи мікросхеми J1J16 використовуються для установки необхідного коефіцієнта ділення. Входи Ka , Kb , Kc використовуються для формування модуля М. Вхід L – для вибору одного з зазначених вище режимів (0 – безперервної дії; 1 – одноразовий відлік).

Входи J1J16 використовуються у такій послідовності:

  •  на входах J1J4 встановлюється двійковий код PЗ ;
  •  на входах J5J8 – множник одиниць PО ;
  •  на входах J9J12 – множник десятків PД ;
  •  на входах J13J16 – множник сотень PС ;
  •  на входах J2J4 – множник тисяч PТ .

Для кожного двійкового набору молодшого розряду числа відповідає вхід з меншим індексом. Розподіл входів JJ4 між множниками PТ і PЗ регламентується Табл. 6.12.

Для установки мікросхеми в початковий стан необхідно забезпечити  Kb = Kc = 0 протягом не менше трьох періодів вхідних імпульсів. Режими роботи лічильника і відповідні значення сигналів на входах приведені у Табл. 6.13.

Діапазони зміни коефіцієнта PТ PO приводяться у Табл. 6.13. Діапазони зміни коефіцієнтів PТ і PЗ залежать від вибору М. Якщо встановити PТ = PЗ = 0,  то для будь-яких значень PС , PД , PО при зміні модулю М формується сітка частот з постійним відношенням їх значень: f / N ; 1,25 f / N ; 2 f / N ; 2,5 f / N ; 5 f / N . Така особливість може бути корисною при реалізації синтезатора частот, в якому при зміні частоти задаючого генератора необхідно зберігати співвідношення між синтезованими частотами.

Приклад 6.7. Вибрати рівні логічних сигналів на входах лічильника для забезпечення  Kд = 8479.

Розв’язання. Виходячи з формули (6.9), можемо стверджувати, що лічильник можна розглядати як послідовне з’єднання двох віднімаючих лічильників, один з яких має коефіцієнт перерахунку, рівний М, а другий визначається значеннями в дужках. Тому, виходячи з можливих комбінацій коефіцієнтів в дужках, приймемо M = 5 з ряду його значень.

Значення коефіцієнта в дужках:

 і  залишок 4   →   PЗ = 4.

Представляємо необхідний коефіцієнт ділення у вигляді (6.9):

8479 = 5 × (1000 × 1 + 100 × 6 + 10 × 9 + 1 × 5) + 4 .

Як наслідок, маємо:

PТ = 1 ; PC = 6 ; PД = 9 ; PО = 5 .

Знаходимо відповідні значення рівнів сигналів на входах:

;

;

;

;

;

.

Основний режим роботи лічильника – режим безперервної дії, в якому по закінченню циклу у внутрішні лічильники мікросхеми перезаписуються дані з входів, встановлюючи кожен з них у відповідний коефіцієнт перерахунку.

Режим одноразового відліку характеризується тим, що його обов’язково упереджує режим установки в початкове значення. Після виконання одного циклу на виході Y встановлюється високий рівень сигналу, який зберігається незалежно від наявності вхідних імпульсів. Якщо встановити L = 0, то лічильник перейде в циклічний режим з частотою вихідних імпульсів . Щоб виконати повторний одиничний запуск, необхідно знову встановити лічильник у початковий стан, після чого повернутися до режиму одноразового відліку.

6.3. Області використання лічильників

Найбільш широке використання лічильників – ділення частоти вхідної імпульсної послідовності і підрахунок кількості імпульсів. Як у ТТЛ, так і в КМОН-серіях ІС є спеціально для цього призначені мікросхеми лічильників. Маються на увазі мікросхема ТТЛ ИЕ8 – програмована мікросхема для ділення частоти вхідних імпульсів – і КМОН ІС ИЕ15.

Розглянемо особливості роботи з мікросхемою ИЕ8 (див. рис. 6.20). Як витікає з (6.8), вихідна частота залежить від кодової комбінації на входах Di .

Як відмічалося вище, при ряді кодових комбінацій інформаційних сигналів на входах Di вихідна послідовність імпульсів буде розподілена на періоді нерівномірно, тому вихідна частота fвих характеризуватиме лише осереднену частоту на ряді циклів. У такому плані розглянута мікросхема забезпечує виконання функцій перетворювача код-частота (ПКЧ). При послідовному з’єднанні двох мікросхем загальний коефіцієнт ділення має діапазон від 4096:1 до 4096:4095.

Мікросхема ИЕ8 може використовуватись також при необхідності перетворення в частоту двійково-десяткового коду. Для цього на входи D0 і  D1 подаються низькі рівні сигналу, а двійково-десятковий код подається на чотири старші розряди. При цьому на виході буде отримана імпульсна послідовність з осередненою частотою , де D – кодова комбінація двійково-десяткового коду.

Якщо виникає необхідність перетворення в частоту багаторозрядного двійково-десяткового коду, то необхідно, щоб синхронний лічильник, на базі якого будується ПКЧ, був також двійково-десятковим з тим, щоб частота вхідних імпульсів, яка подається на слідуючий десятковий розряд, була б у 10 разів нижче, ніж на попередньому. Тому такі ПКЧ слід будувати на основі єдиної логічної керуючої системи, яка повинна порозрядно забезпечити таку відповідність.

Ще більші можливості має мікросхема 564ИЕ15. В її програмуванні закладені такі властивості, які дозволяють легко отримати дискретну сітку частот з постійним відношенням їх значень. Це дозволяє простими програмними засобами створювати на її базі генератори дискретної сітки частот, октавні дільники.

У [] приводиться приклад синтезу частот звукоряду для електромузичних інструментів. Точні значення частот звукоряду створюють ряд ірраціональних чисел з відношенням двох сусідніх частот, рівним . На практиці це відношення замінюється ірраціональними дробовими числами за умови, що відхилення, які виникають при цьому, не перевищують 0,2% від необхідного значення частоти. Це відповідає дозволяючій спроможності людського вуха. Звідси витікає, що для отримання 12 значень частот звукоряду коефіцієнти ділення повинні бути не менше 1000. При вибраній частоті задаючого генератора 2 кГц і Kд у межах 1000…2000 можна отримати звукоряд з 12 дискретних частот третьої октави. Для ділення частоти часто використовується мікросхема 561ИЕ15. Частоти для більш низьких октав можна отримати шляхом використання більш простих пристроїв ділення частоти. Так, у складі мікросхем серії 145 є спеціалізовані мікросхеми (К145ИК14 і К145ИК15) для використання в музичних інструментах для октавного ділення частоти.

Синтезатори частот, які будуються за структурою систем фазової автопідстройки частоти (ФАПЧ), також містять в собі цифрові лічильники для ділення частоти еталонного генератора.

Лічильники з фіксованим коефіцієнтом ділення частоти стабільних кварцових генераторів використовуються в різноманітних датчиках часу (таймерах), годинниках, календарях (мікросхеми 176ИЕ5, 176ИЕ12). Якщо в лічильнику передбачені пристрої, що за сигналом переповнення завантажують змінювані дані по входах Di , то відповідно змінюватиметься коефіцієнт перерахунку. Такі лічильники використовуються при цифровому керуванні швидкістю крокових двигунів, перетворювачів частоти. Важливий недолік цифрових пристроїв ділення частоти – нерівноінтервальність потоку імпульсів у циклі роботи лічильника – компенсується спеціальними пристроями, призначеними для створення постійного інтервалу.

Для пристроїв розподілення імпульсів, що використовуються в системах промислової автоматики, – наприклад, у системах вибіркового контролю, діагностики, у системах керування кроковими двигунами, напівпровідниковими перетворювачами – перевага часто надається лічильникам, побудованим на основі схем Джонсона, реалізованих, наприклад, на мікросхемах К561ИЕ8, К561ИЕ9, К561ИЕ19. Перевага їх полягає в тому, що інтервал активного стану на кожному з виходів дорівнює періоду вхідних імпульсів, помноженому не на число, яке дорівнює кількості тригерів.

Широке використання знаходять лічильники при створенні таймерів різного функціонального призначення. Схема одного з варіантів таймера-одновібратора з використанням лічильника ТТЛ ИЕ5 приведена на рис. 6.30, a. Часові діаграми роботи пристрою приведені на рис. 6.30, б.

а

б

Рис. 6.30

Лічильник зв’язком Q1 → C2 встановлений у режим роботи з модулем перерахунку M = 16. При подачі першого синхроімпульсу на вхід C таймера він через інвертор DD2 встановлює тригер DD4 у стан Q = 1 і змінює вміст лічильника. Послідуючі десять імпульсів не змінюють стану тригера. При появі дванадцятого імпульсу на виходах Q4 і Q8 лічильника DD1 встановляться високі логічні рівні, а на виході ЛЕ DD3 – навпаки, низький рівень сигналу , який встановить тригер у стан Q = 0. Тому на виході Q RS-тригера буде отриманий сигнал високого рівня, тривалість якого задається коефіцієнтом перерахунку лічильника DD1.

Аналогічно створюються одновібратори з програмованою затримкою, які знаходять використання як у пристроях цифрової автоматики, так і в ряді інших пристроїв – наприклад, в пристроях побутової техніки.

Якщо використати вихід Q мікросхеми DD4 як джерело дозволяючого сигналу для того, щоб вибирати з безперервної послідовності визначену кількість імпульсів, то отримаємо дозатор – пристрій, який можна використовувати, наприклад, для визначення розміру пакетів при послідовних форматах обміну інформацією. Часто в якості інтервалів часу, формованих дозатором,  визначається  інтервал,  необхідний  для  передачі  одного  байта  інформації.

Інший варіант схеми дозатора приводиться на рис. 6.31.

Сигналом R лічильник обнуляється, потенціал на виході переносу Р приймає низький рівень, внаслідок чого імпульсна послідовність С через ЛЕ DD1 передаватиметься на вихід Вих. і на вхід лічильника. При переповненні лічильника потенціал виходу Р зміниться на високий і, як результат, призведе до заборони подальшої передачі вхідних імпульсів на вихід. В якості лічильника DD2 можна взяти мікросхему для ділення частоти з програмованим коефіцієнтом ділення. Якщо в такому дозаторі використовується двійковий лічильник і підрахований ним пакет імпульсів подати на вхід іншого лічильника – десяткового, то після закінчення циклу підрахунку число, що було введене в дозатор у якості модулю перерахунку, буде перетворене у двійково-десятковий код, який можна зняти паралельно з виходів десяткового лічильника. Якщо ж двійковий і десятковий лічильники поміняти місцями, то буде отриманий зворотний перетворювач двійково-десяткового коду в двійковий.

Вимірювання ряду електричних величин зводиться до вимірювання інтервалів часу: період гармонічного сигналу, інтервал часу одного оберту вала, зсув гармонічних сигналів, інтервал часу між посилкою та прийомом імпульсу радіолокатора, інтервал часу розряду конденсатора в аналого-цифрових перетворювачах з подвійним інтегруванням і т.п.

На рис. 6.32 приведена функціональна схема цифрового пристрою для вимірювання частоти.

Пристрій  L  формує інтервал часу вимірювання  Т.  Це може бути один період вимірюваного сигналу, його частина або значно більший інтервал часу. Наприклад, для вимірювання частоти мережі 220/380 В можна взяти один період. Вибраний інтервал часу пристроєм L формується у вигляді високого рівня сигналу. Високочастотна послідовність імпульсів f, частота яких задається допустимою помилкою вимірювання, подається через пристрій синхронізації S, принципи побудови яких описані в попередніх розділах, і через елемент DD1 – на вхід лічильника. Кількість імпульсів, яка підрахована лічильником за інтервал часу Т, в кінці інтервалу перезаписується в запам’ятовуючий пристрій RG у вигляді двійкового коду. Отриманий код дешифратором DC дешифрується і передається на пристрій відображення інформації. Регенерація зображення у пристрої, побудованому за приведеною схемою, може відбуватись досить часто, що необхідно враховувати при виборі інтервалу Т.

На рис. 6.33 приводиться варіант використання лічильників у пристроях віднімання частоти. Подібні пристрої широко використовуються у вимірювальній техніці; у приладах, призначених для роботи з частотними датчиками; у системах частотного керування електроприводами та ін.

Імпульси з частотами f1 та f2 подаються, відповідно, на входи Вх. 1 і Вх. 2. Логічна схема на елементах DD1…DD4 забезпечує дозовану подачу імпульсів на додаючий і віднімаючий входи лічильника DD5. У найпростішому випадку це може бути двохрозрядний лічильник з виходами розрядів Q1 та Q. Коли лічильник DD5 імпульсами частотою  f1 переводиться у стан Q2 Q1 = 11, високий рівень сигналу з елемента DD6 блокує подальшу подачу імпульсів на вхід +1 і відкриває шлях до подачі їх на вихід Вих. 1 (f1 – f2). Імпульси частотою f, що поступають на віднімаючий вхід лічильника DD5, змінюють його вихідний стан. Якщо його виходи приймуть стан Q2 Q1 = 00, то аналогічно через елемент DD7 буде заблокований віднімаючий вхід лічильника, і імпульси частотою f2 подаються на вихід Вих. 2 (f2 – f1). Якщо f1 = f, то код, відображаючий кількість поданих в лічильник імпульсів, коливатиметься біля одного рівня: імпульс частотою f1 збільшить його на 1, а імпульс частотою f2 – відповідно, встановить попереднє значення.

У розглянутій схемі реверсивний лічильник виконує функцію низькочастотного фільтру інтегрального типу для частотних сигналів. Якщо різниця частот коливається біля нульового рівня, то ці коливання осереднюються лічильником і не проходять на вихід. Дійсно, якщо знак різниці зміниться, то потрібен деякий час, перш ніж лічильник перейде в новий крайній стан, і тільки після цього імпульси можуть перейти на вихід. Ємність лічильника можна збільшити і задати значно більший діапазон варіації кількості імпульсів між двома крайніми значеннями. Таке збільшення еквівалентно збільшенню постійної часу інтегратора. Робота описаної схеми у відповідності до приведеного опису відбувається при неспівпаданні імпульсів з частотами f1 та f2 у часі, що може бути забезпечено шляхом використання двофазної синхронізації (див. Розділ IV). Приведений пристрій може використовуватись в якості фазового детектора в схемах фазової автопідстройки частоти, причому від пристроїв іншого типу відрізняється однозначністю фазової характеристики.

На рис. 6.34 приводиться приклад спрощеної структурної схеми керування частотою обертання вала двигуна постійного струму.

Лічильник у цій схемі виконує функцію цифрового інтегратора-суматора з обмеженням. Він є повним еквівалентом аналогового інтегратора на операційному підсилювачі з обмеженням напруги на конденсаторі за допомогою стабілітронів.

Двигун постійного струму умовно зображений у вигляді перетворювача керуючого аналогового сигналу в частоту f, еквівалентну частоті обертання ротора. При подачі керуючого частотного сигналу f1 вміст лічильника зростає, зростає його вихідний двійковий код, який за допомогою цифро-аналогового перетворювача перетворюється в напругу керування двигуном. Зростання напруги приводить до зростання частоти f2 сигналу зворотного зв’язку, який подається на віднімаючий вхід лічильника. Зростання частоти обертання ротора двигуна приводить до зростання кількості імпульсів, що подаються на вхід –1. У сталому режимі частоти f1 та f2 вирівняються і створюють близьке до стабільного значення двійкового коду на виході лічильника.

У мікропроцесорній техніці лічильники використовуються, наприклад, у блоках адресації для організації звернень до пристроїв пам’яті та зовнішніх пристроїв. Просте інкрементування лічильника забезпечує покрокове звернення за адресами з командами та даними, які потім за допомогою керуючих сигналів RD і WR зчитуються в процесор та перезаписуються повторно в пам’ять. При необхідності переходів іншого типу в лічильник завантажується двійковий код початкової адреси іншої підпрограми, яка потім виконується в покроковому режимі.

Приблизно такі ж функції лічильник виконує в мікропрограмних автоматах, в яких кожне значення вихідного двійкового коду лічильника декодується в групу сигналів, які реально представляють собою мікрокоманду.

Сумісне використання перетворювачів код-частота і пристрою віднімання частот дозволяє будувати арифметичні віднімаючі пристрої. У [Ланцов] описаний арифметичний пристрій, який виконує операції додавання, віднімання, множення та ділення двійкових чисел, але, на жаль, досить повільно. В [Зельд] описаний пристрій, що виконує операції ділення за допомогою віднімаючих лічильників.

Дещо інші принципи закладені в арифметичні пристрої, що описані в [Оберман]. Вони реалізують функції нормуючих перемножувачів, а також різноманітні функціональні перетворення – наприклад, , , , sin x, cos x. У [Ланц, Оберман] приводяться приклади реалізації рекурсивних лічильників, лічильників зі спеціальними вихідними кодами – наприклад, такими, що відповідають числам Фібоначі.

Розглянемо ще одне використання лічильників у пристроях, які в залежності від характеру використання називаються інтерполяторами, цифро-частотними перемножувачами, цифро-частотними інтеграторами [Потемк.].

Основою схеми пристрою є синхронний лічильник з паралельним перенесенням (рис. 6.35), виготовлений на тригерах DD1…DD3. Лічильник має модуль M = 8. Частота імпульсів на прямому виході кожного тригера зменшується вдвічі порівняно із вхідною. При виконаній схемі з’єднань високі рівні сигналів на виходах тригерів не співпадають у часі. Тому у вихідному сигналі DD7 кожна частотна складова буде представлена самостійно і незалежно від наявності чи відсутності інших (рис. 6.36).

Часові діаграми сигналів на виходах DD4, DD5, DD6 зображені при умові, що сигнали на їх входах – відповідно, S, S, S0 – мають високі рівні, тобто відповідають кодовій комбінації 111. З часової діаграми витікає, що кількість імпульсів, які передаються на вихід пристрою на одному циклі роботи, однозначно визначається значенням двійкового коду на керуючих входах S, S, S.

Кількість імпульсів, відповідну значенню керуючого двійкового коду, забезпечує на своєму виході і дозатор, але особливість інтерполятора полягає в тому, що вихідні імпульси передаються не в пакетній формі, а приблизно рівномірно розподілені по інтервалу часового циклу.

Інтерполятори використовують для керування кроковими двигунами, коли їх швидкість задається двійковим кодом SSS. Вони можуть використовуватись як пристрій для перемноження сигналу, що задається частотою вхідних імпульсів fвх , на сигнал, що задається керуючим двійковим кодом SSS. При цьому результат відображається частотою вихідних імпульсів.

Якщо забезпечити такий режим, при якому на вхід S подаватиметься у двійковому коді деяка функція F(x), а на вхід С – приріст аргументу цієї функції Δx, то кількість імпульсів на виході буде пропорційною інтегралу .

Окрім зазначених областей використання, лічильники знаходять широке застосування в інших електронних пристроях. Відоме широке використання лічильників в аналого-цифрових перетворювачах різних типів [під ред. Якубовського], для реалізації нелінійних часових функцій [Зельд], для керування запам’ятовуючими пристроями і т.п.

6.4. Скінченні автомати на основі лічильників

На початку розділу відмічалося, що лічильники можуть розглядатись як скінченні автомати з циклічним режимом роботи. Але іноді виникає необхідність побудови автомата, що працює в майже циклічному режимі. У таких випадках для побудови автоматів корисно використати готові мікросхеми лічильників з базовим циклічним режимом роботи і доповнити комбінаційною логікою для забезпечення нециклічних переходів.

На рис. 6.37, як приклад, приводиться діаграма станів автомата з майже циклічним режимом роботи. В такому випадку необхідно вибрати мікросхему лічильника і за допомогою логічних елементів забезпечити необхідні переходи.

Функціональна схема автомата, що відповідає приведеній діаграмі станів, показана на рис. 6.38.

Вхід автомата R фактично призначений для примусового встановлення автомата в стан 0, а сигнал S – аналогічно, для забезпечення примусового встановлення автомата в стан 6.

Розглянемо особливості проектування таких автоматів на прикладі лічильника з M = 8, який за сигналом керування G повинен працювати у двійковому коді (G = 0) або в режимі відліку відповідно до коду Грея  (G = 1). Відповідність між значеннями двійкового коду і коду Грея була приведена у Розділі I (Табл. 1.1), а граф-схема автомата матиме вигляд, зображений на рис. 6.39.

Рис. 6.39

Виходячи з граф-схеми, можемо визначитись, що для побудови такого автомата необхідно використати двійковий лічильник з попередньою асинхронною установкою.

Оскільки зв’язок між розрядами бінарного коду b, b, b2 і коду Грея g, g, g2 встановлюється залежностями:

то матимемо функціональну схему автомата (рис. 6.40).

Рис. 6.40

Із функціональної схеми витікає, що для побудови автомата для  M = 16 досить зняти подачу сигналу на вхід R і додати, аналогічно попередньому, ще один логічний елемент “ВИКЛ. АБО”.

Використання лічильників при побудові цифрових автоматів дозволяє значно спрощувати апаратну реалізацію, але вимагає від інженерів-розробників високої кваліфікації.

КОНТРОЛЬНІ  ПИТАННЯ

  1.  Дайте визначення терміну “лічильник”.
  2.  Які параметри характеризують лічильник як цифровий пристрій? Назвіть їх і дайте фізичну інтерпретацію.
  3.  У чому полягає різниця між синхронними і асинхронними лічильниками?
  4.  Поясніть суть операцій інкрементування та декрементування вмісту лічильника.
  5.  Чим обмежується швидкодія лічильників з послідовним переносом?
  6.  Які способи використовуються для побудови лічильників з довільним модулем перерахунку?
  7.  Які недоліки має лічильник з попередньою установкою модуля перерахунку?
  8.  У чому полягає перевага синхронних лічильників перед асинхронними?
  9.  Перерахуйте та обґрунтуйте недоліки двійкового лічильника з паралельним переносом.
  10.  Якими шляхами розв’язується задача нарощування розрядності синхронних лічильників з паралельним переносом?
  11.  У чому полягає недолік асинхронних лічильників при їх використанні з дешифраторами та семисегментними індикаторами для відображення інформації?
  12.  Як на основі мікросхеми ИЕ8 розробити перетворювач чотирьохрозрядного двійкового коду в частоту вихідних імпульсів; восьмирозрядного двійкового коду в частоту вихідних імпульсів?
  13.  Поясність особливості нарощування розрядності лічильників ИЕ9 та ИЕ10.
  14.  Чим мікросхема 564ИЕ15 принципово відрізняється від інших типів мікросхем лічильників?
  15.  Приведіть порівняльну характеристику переваг та недоліків двійкових лічильників і лічильників Джонсона.
  16.  Поясніть, на якому принципі будуються цифрові одновібратори та різноманітні таймери.
  17.  На якому принципі будуються дозатори? Що це за пристрої і де вони можуть використовуватися?
  18.  Поясніть, як можна використати дозатор для перетворення двійкового коду в двійково-десятковий та навпаки.
  19.  Поясніть принцип роботи цифрових частотних інтеграторів.
  20.  Обґрунтуйте можливість використання двійкових лічильників у блоках адресації мікропроцесорів.
  21.  Поясніть принцип роботи та призначення інтерполяторів. Які функції вони можуть виконувати?

ВПРАВИ  І  ЗАВДАННЯ

  1.  Скільки тригерів необхідно для побудови двійкового послідовного асинхронного лічильника з M = 1024?
  2.  На вхід двійкового асинхронного додаючого лічильника з M = 16 подано N = 87 імпульсів. Який код встановиться на виходах Q лічильника?
  3.  Повторити вправу 2, але для віднімаючого лічильника з початковою установкою 0000.
  4.  Розробити функціональну схему додаючого двійкового лічильника з M = 4, в якому стан його виходів визначається кількістю записаних в ньому одиниць.
  5.  Розробити функціональну схему лічильника до прикладу 6.2.
  6.  Використовуючи мікросхему ТТЛ ИЕ5, розробити схему лічильника з коефіцієнтом перерахунку 10.
  7.  Використовуючи мікросхему ИЕ5 і мультиплексор КП7 ТТЛ, розробити схему для перетворення однобайтового паралельного формату даних у послідовний з паузою в 2 біти між словами.
  8.  Спроектувати синхронні лічильники за модулем 12 на основі довільно взятої логіки та наступних елементів: а) Т-тригерів; б) RS-тригерів; в) JK-тригерів; г) D-тригерів. Розробити логічну схему для декодування результату відліку.
  9.  Використовуючи JK-тригери та допоміжну логіку, розробити циклічний генератор послідовності, що задана у Табл. 6.14.

      Табл. 6.14

  1.  На рис. 6.41 приведена схема цифрового пристрою з лічильниками ИЕ17. Проаналізувати і пояснити роботу пристрою.

Рис. 6.41

  1.  Лічильник ИЕ18 має зовнішні з’єднання відповідно до схеми, приведеної на рис. 6.42. Проаналізувати особливість роботи пристрою, якщо у початковому стані маємо Q8 Q4 Q2 Q1 = 0000. Привести часові діаграми для одного циклу роботи лічильника.

Рис. 6.42

  1.  На рис. 6.43 приведена схема синхронного двійкового лічильника з логікою послідовного зсуву. Привести формулу для обчислення максимальної частоти синхросигналів. У формулі повинні бути присутніми час затримки Т-тригера від Т-входу до Q-виходу, а також час між моментом подачі сигналу Е та моментом початку фронту синхросигналу. Як називаються вказані інтервали часу?
  2.  Повторити вправу попередньої задачі для синхронного паралельного двійкового лічильника і порівняйте результати з попередніми.
  3.  Повторити вправу для послідовного лічильника з модулем  М.
  4.  Використовуючи лічильник ИЕ18, спроектуйте лічильник із  M = 11 з відліковою послідовністю: 3, 4, 5, …, 12, 13, 3, 4, для роботи в циклічному режимі.
  5.  Використовуючи мікросхему ИЕ18, розробити схему лічильника з M = 129. Пояснити її роботу.

Рис. 6.43

  1.  Використовуючи відомі мікросхеми, розробити схему лічильника з бінарним чотирьохрозрядним керуючим входом N3 N2 N1 N0 і одним виходом Y. Лічильник повинен видавати в циклі 16 вхідних синхроімпульсів таку кількість вихідних, яка дорівнює десятковому значенню вхідного двійкового коду.
  2.  Використовуючи мікросхему ИЕ17 і, якщо необхідно, допоміжну логіку, спроектувати лічильник з M = 16 із наступною відліковою послідовністю: 7, 6, 5, 4, 3, 2, 1, 0, 8, 9, 10, 11, 12, 13, 14, 15, 7, 6, 
  3.  Розробити 8-бітний самокоригований кільцевий лічильник, в якому циркулює лише один нуль і сім одиниць вряд.
  4.  Використовуючи типовий двійковий лічильник з  M = 16 і логічні елементи “ВИКЛ. АБО”, розробити схему лічильника, вихідні сигнали якого змінюватимуться відповідно до коду Грея.
  5.  Розробити два варіанти лічильників з M = 4 на мікросхемі КР1533ТМ2 (2 синхронні D-тригери), не використовуючи інших елементів.
  6.  Побудувати часові діаграми у контрольних точках (C, Q, Q2) схеми лічильника з M = 3 (рис. 6.44).

Рис. 6.44

  1.  Використовуючи мікросхему 561(564)ИЕ11 та допоміжну логіку, розробіть схему ділення частоти вхідних імпульсів на 60.
  2.  Умова задачі 15. Розробити двійковий лічильник з M = 161.
  3.  Використовуючи мікросхему 564ИЕ15, розробити схему пристрою для ділення вхідної частоти в 12 122 рази.
  4.  Розробити схему керування дешифратором-демультиплексором для забезпечення перетворення однобайтового слова послідовного формату в паралельний формат. Між інформаційними словами послідовного формату повинна встановлюється пауза в два такти.
  5.  Розробити функціональну схему пристрою для контролю опору ізоляції електричної мережі у 20 точках. Датчик опору ізоляції забезпечує високий рівень логічного сигналу, якщо величина опору знизиться до величини, меншої мінімально допустимої. Пристрій повинен видати інформацію у вигляді номеру аварійної точки і подати сигнал оповіщення.
  6.  У практиці кабельного електромонтажу виникають задачі маркування жил провідників кабелю, кінці якого рознесені у різні віддалені приміщення. Пропонується розробити електронний пристрій і технологію, які б дозволили автоматизувати задачі маркування.

Підказка: Використайте результати попередньої задачі.

  1.  Розробити функціональну схему приладу для вимірювання частоти мережі з відображенням двох значущих цифр на семисегментних індикаторах.

Підказка: Формується меандр періоду або півперіоду, що заповнюється високочастотними імпульсами, які потім зчитуються лічильниками.

  1.  Розробити функціональну схему для вимірювання ємності конденсатора частотним способом.
  2.  Розробити функціональну схему годинника з відображенням годин та хвилин на семисегментних індикаторах.
  3.  Виконати задачу 31, але з відображенням годин за допомогою світлодіодів, розміщених на круглому циферблаті.
  4.  Застосувавши один з двійкових реверсивних лічильників, розробити принципову схему пристрою, який би при подачі послідовності синхросигналів міг автоматично забезпечувати реверс при повному заповненні (обнулінні) лічильника. Використати лічильник з M = 16.

Підказка: Імпульси на входи інкрементування та декрементування необхідно подавати через дозволяючі елементи , сигнали на інших входах яких задаються RS-тригером. Тригер, у свою чергу, може керуватися від дешифратора 4:16, що перетворює двійковий код лічильника в сигнал “1 з 16”.

  1.  Розробити функціональну схему віднімаючого таймера-лічильника, в який можна задавати в двійково-десятковому коді час в інтервалі 1 хвилина з точністю до 1 секунди і який при обнулінні видавав би на своєму виході сигнал високого рівня тривалістю 1 секунда.
  2.  Розробити функціональну схему дозатора для формування пакетів ємністю 10 біт з паузою між ними в 1 такт.

PAGE  517




1. на тему- ~~ЗАСОБИ МИЛОЗВУЧНОСТІ УКРАЇНСЬКОЇ МОВИ~ Студент І курсу-
2. Традиционные установки русской культуры, истоки и особенности формирования, факторы формирования русского культурного архетипа
3. Эволюция денежной формы стоимости
4. Шпаргалка по дисциплине Защита прав потребителей
5. Жизнь и быт Афин по комедиям Аристофана
6. Экономическая сущность и классификация инвестиций
7. Тема 2- Экономическая система 2
8. на тему Державне казначейство України Виконала Студентка ~~ курсу Спеціальності Еконо
9. Газпром второе протокол о намерениях с РАО
10. Сложные реакции. Типы реакций
11. тематическим аппаратом важную роль играют теоретические схемы образующие своеобразный
12. Система экологического права
13. Шпаргалка по философии для вступительного экзамена в аспирантуру
14. Разработка экономической стратегии предприятия
15. Тукай теле МУЗЫКА УЙНЫЙ КОШЛАР ТАВЫШЫ
16. Великая Отечественная война 1941 1945 гг
17. Статья 1 Предмет регулирования настоящего Федерального закона 12
18. ПРАКТИКАЛЫ~ ~АЗА~ ТІЛІ кафедрасы БЕКІТЕМІН За~тану ж~не халы~аралы~ ~атынастар факультетіні~ дека
19. Контрольная работа- Правила речевого этикета на уроках английского языка в начальной школ
20. аналог давно существующего в библиотечном деле понятия картотеки английское слово file и означает картотеку.html