Будь умным!


У вас вопросы?
У нас ответы:) SamZan.net

Основные свойства полупроводников К полупроводниковым относят материалы которые при комнатной темпе

Работа добавлена на сайт samzan.net: 2016-03-30

Поможем написать учебную работу

Если у вас возникли сложности с курсовой, контрольной, дипломной, рефератом, отчетом по практике, научно-исследовательской и любой другой работой - мы готовы помочь.

Предоплата всего

от 25%

Подписываем

договор

Выберите тип работы:

Скидка 25% при заказе до 21.5.2024

ГЛАВА 10

Технология полупроводниковых приборов

10.1. Основные свойства полупроводников

К полупроводниковым относят материалы, которые при комнатной температуре имеют удельное электрическое сопротивление от 10-5 до 1010 Ом×м (в полупроводниковой технике принято измерять сопротивление 1 см3 материала). Количество полупроводников превышает количество металлов и диэлектриков. Наиболее часто используются кремний, арсенид галлия, селен, германий, теллур, различные оксиды, сульфиды, нитриды и карбиды [1, 2].

Разделение веществ на металлы, полупроводники и диэлектрики выполняют исходя из зонной структуры тела при температуре абсолютного нуля.

У металлов валентная зона (верхняя зона в энергетическом спектре твердого тела, заполненная электронами) и зона проводимости (зона, электроны которой обладают энергиями, позволяющими им освобождаться от связи с атомами и передвигаться внутри твердого тела, например под воздействием электрического поля) взаимно перекрываются, поэтому при 0 К металл обладает электропроводностью.

У полупроводников и диэлектриков зона проводимости при 0 К пуста и электропроводность отсутствует. Различие между полупроводниками и диэлектриками в ширине запрещенной зоны (зоны, в пределах которой нет энергетических уровней, на которых могли бы находиться электроны).

В полупроводниках при некотором значении температуры, отличном от нуля, часть электронов будет иметь энергию, достаточную для перехода в зону проводимости. Эти электроны становятся свободными, а полупроводник – электропроводным.

Уход электрона из валентной зоны приводит к образованию в ней незаполненного энергетического уровня. Вакантное энергетическое состояние носит название дырки. Валентные электроны соседних атомов в присутствии электрического поля могут переходить на эти свободные уровни, создавая дырки в другом месте. Такое перемещение электронов можно рассматривать как движение положительно заряженных фиктивных зарядов – дырок.

Электропроводность, обусловленную движением свободных электронов, называют электронной, а электропроводность, обусловленную движением дырок, - дырочной.

У абсолютно чистого и однородного полупроводника при температуре, отличной от 0 К, свободные электроны и дырки образуются попарно, т.е. число электронов равно числу дырок. Электропроводность такого полупроводника, обусловленная парными носителями теплового происхождения, называется собственной.

Процесс образования пары электрон – дырка называют генерацией пары. При этом генерация пары может быть следствием не только воздействия тепловой энергии (тепловая генерация), но и кинетической энергии движущихся частиц (ударная генерация), энергии электрического поля, энергии светового облучения (световая генерация) и т.д.

Образовавшиеся в результате разрыва валентной связи электрон и дырка совершают хаотическое движение в объеме полупроводника до тех пор, пока электрон не будет «захвачен» дыркой, а энергетический уровень дырки не будет «занят» электроном из зоны проводимости. При этом разорванные валентные связи восстанавливаются, а носители заряда – электрон и дырка – исчезают. Этот процесс восстановления разорванных валентных связей называют рекомбинацией.

Электрические свойства полупроводников зависят от содержания в них атомов примесей, а также от различных дефектов кристаллической решетки: пустых узлов решетки, атомов или ионов, находящихся между узлами решетки, и т.д. Примеси бывают акцепторные и донорные.

Акцепторные примеси. Атомы акцепторных примесей способны принимать извне один или несколько электронов, превращаясь в отрицательный ион. При этом в полупроводнике концентрация дырок превысит концентрацию свободных электронов собственной проводимости (). Следовательно, в полупроводнике будет преобладать дырочная электропроводность. Такой полупроводник называют полупроводником р-типа.

Донорные примеси. Атомы донорных примесей имеют валентные электроны, слабо связанные со своим ядром. Эти электроны, не участвуя в межатомных связях, могут легко перейти в зону проводимости материала, в который была введена примесь. При этом в решетке остается положительно заряженный ион, а электрон добавится к свободным электронам собственной электропроводности. Донорный уровень находится в верхней части запрещенной зоны. Переход электрона с донорного уровня в зону проводимости происходит тогда, когда он получает небольшую дополнительную энергию. В этом случае концентрация свободных электронов в полупроводнике превышает концентрацию дырок и полупроводник обладает электронной электропроводностью. Такие полупроводники называют полупроводниками п-типа.

Носители зарядов, концентрация которых преобладает в полупроводнике, называют основными, а носители зарядов, концентрация которых в полупроводнике меньше, чем концентрация основных, – неосновными [1].

Электрический переход в полупроводнике – это граничный слой между двумя областями, физические характеристики которых существенно различаются.

Переходы между двумя областями полупроводника с различным типом электропроводности называют электронно-дырочними или р-п переходами.

Переходы между двумя областями с одним типом электропроводности (п– или р– типом), отличающиеся концентрациейпримесей и соответственно значением удельной проводимости, называют электронно-электронными (п+ – п-переход) или дырочно-дырочными (р+ – р-переход), причем знак «+» в обозначении одного из слоев показывает, что концентрация носителей заряда одного типа в этом слое значительно выше, чем во втором, и поэтому слой имеет меньшее удельное электрическое сопротивление.

Особенности р-п–переходов. В идеальном р-п–переходе обратный ток уже при сравнительно небольшом обратном напряжении не зависит от значения последнего. Однако при исследовании реальных р-п–переходов наблюдается достаточно сильное увеличение обратного тока при увеличении приложенного напряжения, причем в кремниевых структурах обратный ток на 2 - 3 порядка выше теплового. Такое отличие экспериментальных данных от теоретических объясняется термогенерацией носителей заряда непосредственно в области р-п–перехода и существованием канальных токов и токов утечки.

Канальные токи обусловлены наличием поверхностных энергетических состояний, искривляющих энергетические зоны вблизи поверхности и приводящих к появлению инверсных слоев. Эти слои называют каналами, а токи, протекающие через переход между инверсным слоем и соседней областью, - канальными токами.

Емкости р-п–перехода. Наряду с электропроводностью р-п–переход имеет и определенную емкость. Емкостные свойства обусловлены наличием по обе стороны от границы электрических зарядов, которые созданы ионами примесей, а также подвижными носителями заряда, находящимися вблизи границы р-п–перехода.

Емкость р-п–перехода подразделяют на две составляющие: барьерную, отражающую перераспределение зарядов в р-п–переходе, и диффузионную, отражающую перераспределение зарядов вблизи р-п–перехода. При прямом смещении перехода в основном проявляется диффузионная емкость, при обратном (режим экстракции) заряды вблизи р-п–перехода (в базе) меняются мало и основную роль играет барьерная емкость.

Пробой р-п–перехода. Под пробоем р-п–перехода понимают значительное уменьшение обратного сопротивления, сопровождающееся возрастанием обратного тока при увеличении приложенного напряжения. Различают три вида пробоя: туннельный, лавинный и тепловой.

В основе туннельного пробоя лежит туннельный эффект, т.е. «просачивание»электронов сквозь потенциальный барьер, высота которого больше, чем энергия носителей заряда.

Лавинный пробой вызывается ударной ионизацией, которая происходит тогда, когда напряженность электрического поля, вызванная обратным напряжением, достаточна велика.

Тепловой пробой возникает в результате разогрева р-п–перехода, когда количество теплоты, выделяемой током в р-п–переходе, больше количества теплоты, отводимой от него.

Следует заметить, что один вид пробоя может наступать как следствие другого вида пробоя [1].

Свойства р-п–переходов используются в различных полупроводниковых приборах. Одними из простейших полупроводниковых приборов являются транзисторы. В зависимости от принципа действия и конструктивных признаков транзисторы подразделяются на два больших класса: биполярные и полевые.

Биполярные транзисторы

Биполярными транзисторами называют полупроводниковые приборы с двумя или несколькими взаимодействующими электрическими р-п–переходами и тремя или более выводами, усилительные свойства которых обусловлены явлениями инжекции и экстракции неосновных носителей заряда.

В настоящее время широко используют биполярные транзисторы с двумя р-п–переходами, к которым чаще всего и относят этот термин. Они состоят из чередующихся областей (слоев) полупроводника, имеющих электропроводности различных типов. В зависимости от типа электропроводности наружных слоев различают транзисторы р-п-р и п-р-п–типов.

Транзисторы, в которых р-п–переходы создаются у поверхностей соприкосновения полупроводниковых слоев, называют плоскостными.

Физические процессы в транзисторах. Упрощенная структура плоскостного р-п-р–транзистора показана на рис. 10.1, а, условные обозначения р-п-р- и п-р-п–транзисторов — на рис. 10.1, б.

Рис. 10.1 Упрощенная структура плоскостного транзистора (а) и его условные обозначения с указанием направления токов при работе в нормальном активном режиме (б)

При подключении напряжений к отдельным слоям биполярного транзистора оказывается, что к одному переходу приложено прямое напряжение, к другому—обратное. При этом переход, к которому при нормальном включении приложено прямое напряжение, называют эмиттерным, а соответствующий наружный слой – эмиттером (Э); средний слой называют базой (Б). Второй переход, смещенный приложенным напряжением в обратном направлении, называют коллекторным, а соответствующий наружный слой – коллектором (К).

Однотипность слоев коллектора и эмиттера позволяет при включении менять их местами. Такое включение называется инверсным. При инверсном включении параметры реального транзистора существенно отличаются от параметров при нормальном включении.

Типовые структуры биполярных транзисторов, изготовленных различными методами, приведены на рис. 10.2.

Рис. 10.2 Структуры транзисторов:

а - сплавного; б - эпитаксиально-диффузионного; в - планарного;

г- мезатранзистора:

1 - база; 2 - эмиттер; 3 - коллектор (эпитаксиальная пленка); 4 - подложка

В зависимости от технологии изготовления транзистора концентрация примесей в базе может быть распределена равномерно или неравномерно. При равномерном распределении внутреннее электрическое поле отсутствует и неосновные носители заряда, попавшие в базу, движутся в ней вследствие процесса диффузии. Такие транзисторы называют диффузионными или бездрейфовыми.

При неравномерном распределении концентрации примесей в базе имеется внутреннее электрическое поле (при сохранении в целом электронейтральности базы) и неосновные носители заряда движутся в ней в результате дрейфа и диффузии, причем дрейф играет доминирующую роль. Такие транзисторы называют дрейфовыми.

При изготовлении транзисторов эмиттер и коллектор выполняют низкоомными, а базу – относительно высокоомной (десятки - сотни Ом). При этом удельное сопротивление области эмиттера несколько меньше, чем области коллектора.

Все положения, рассмотренные для единичного р-п–перехода, справедливы для каждого из р-п–переходов транзистора. В равновесном состоянии наблюдается динамическое равновесие между потоками дырок и электронов, протекающими через каждый р-п–переход, и результирующие токи равны нулю.

Полевые транзисторы

Полупроводниковые приборы, работа которых основана на модуляции сопротивления полупроводникового материала поперечным электрическим полем, называют полевыми транзисторами. У них в создании электрического тока участвуют носители заряда только одного типа (электроны или дырки).

Полевые транзисторы бывают двух видов: с управляющим р-п–переходом и со структурой металл—диэлектрик—полупроводник (МДП-транзисторы).

Транзистор с управляющим р-п–переходом (рис. 10.3) представляет собой пластину (участок) из полупроводникового материала, имеющего электропроводность определенного типа, от концов которой сделаны два вывода – электроды стока и истока. Вдоль пластины выполнен электрический переход (р-п–переход или барьер Шотки), от которого сделан третий вывод – затвор. Внешние напряжения прикладывают так, что между электродами стока и истока протекает электрический ток, а напряжение, приложенное к затвору, смещает электрический переход в обратном направлении. Сопротивление области, расположенной под электрическим переходом, которая носит название канала, зависит от напряжения на затворе. Это обусловлено тем, что размеры перехода увеличиваются с повышением приложенного к нему обратного напряжения, а увеличение области, обедненной носителями заряда, приводит к повышению электрического сопротивления канала.

Рис. 10.3 Упрощенная структура полевого транзистора с управляющим р-п–переходом (а); условные обозначения транзистора, имеющего канал п–типа (б) и р–типа (в); типовые структуры (г, д); структура транзистора с повышенным быстродействием (е)

Таким образом, работа полевого транзистора с управляющим
р-п–переходом основана на изменении сопротивления канала за счет изменения размеров области, обедненной основными носителями заряда, которое происходит под действием приложенного к затвору обратного напряжения.

Электрод, от которого начинают движение основные носители заряда в канале, называют истоком, а электрод, к которому движутся основные носители заряда, называют стоком. Упрощенная структура полевого транзистора с управляющим р-п–переходом приведена на рис. 10.3, а. Условные обозначения даны на рис. 10.3, б, в, а структуры выпускаемых промышленностью полевых транзисторов — на рис. 10.3, г – е.

Полупроводниковые микросхемы

Одним из наиболее важных технологических приемов микроэлектроники является интегральная технология, дающая возможность на одной пластине создавать группы схемно соединенных между собой элементов. Используя интегральную технологию, можно изготовлять схемы на высокопроизводительных автоматизированных установках, одновременно выпуская значительное количество идентичных по параметрам функциональных узлов.

Функциональные узлы, выполненные по интегральной технологии, называют интегральными микросхемами (ИС) или просто микросхемами.

Основные преимущества интегральных микросхем по сравнению с аналогичными схемами на дискретных компонентах — малые габариты, малая масса и повышенная механическая прочность. При их производстве требуются меньшие затраты за счет применения высокопроизводительного автоматизированного оборудования, возможно существенное сокращение ручного труда и получение лучших характеристик схем благодаря идентичности параметров компонентов. Повышается надежность за счет уменьшения количества сварных соединений, автоматизации технологических операций и снижения вероятности выхода из строя отдельных элементов, изготовленных в едином технологическом цикле. При эксплуатации таких приборов без существенных затрат может быть введено резервирование, что повышает надежность их работ.

Принципиальные схемы ИС значительно сложнее своих аналогов, выполненных на дискретных компонентах.

Терминология в микроэлектронике упорядочена ГОСТами и стандартами СЭВ (СТ СЭВ 1623—79, ГОСТ 17021—75). В соответствии с этим применяются следующие термины.

Интегральная микросхема – микроэлектронное изделие, выполняющее определенные функции преобразования, имеющая высокую плотность упаковки электрически соединенных между собой элементов и компонентов и представляющая единое целое с точки зрения требований к испытаниям, приемке и эксплуатации.

Элемент – часть ИС, в которой реализуется функция какого-либо радиоэлемента (транзистора, диода, резистора, конденсатора и т.д.) и которую нельзя отделить от кристалла и рассматривать как самостоятельное изделие с точки зрения измерения параметров, упаковки и эксплуатации.

Компонент – часть ИС, с помощью которой можно реализовать функцию какого-либо радиоэлемента. Однако с точки зрения измерения параметров, эксплуатации и упаковки это самостоятельное изделие, которое может быть отделено от изготовленной ИС и заменено на другое, например бескорпусный транзистор, навесной конденсатор в гибридной ИС и т.д.

При разработке технической документации в ИС используются термины «корпус», «подложка», «плата», «полупроводниковая пластина», «кристалл», «контактная площадка» и др.

Корпус – часть конструкции ИС, которая защищает кристалл от внешних воздействий. Типы и размеры корпусов, а также число вводов и их расположение стандартизованы. На корпусе имеется «ключ» или корпус выполняется несимметричной формы, что эквивалентно ключу, который необходим для правильного нахождения выводов микросхемы.

Подложка ИС – заготовка, предназначенная для изготовления на ней элементов гибридных и пленочных ИС, межэлементных и межкомпонентных соединений, контактных площадок.

Плата ИС – часть подложки (или вся подложка), на поверхности которой выполнены пленочные элементы, контактные площадки и линии соединений элементов и компонентов.

Полупроводниковая пластина – заготовка, используемая для создания ИС (иногда пластина с выполненными на ней элементами).

Кристалл ИС – часть пластины, полученная после ее резки, когда на одной пластине выполнено несколько функциональных устройств.

Контактные площадки – металлизированные участки на кристалле, предназначенные для присоединения к выводам корпуса ИС.

Бескорпусная микросхема – ИС, содержащая кристалл и выводы (применяется для создания микросборок).

Степень сложности ИС характеризуется степенью интеграции:

K = lg N,

где N—число элементов и компонентов, входящих в ИС.

Коэффициент К обычно округляют до ближайшего целого большего значения. В соответствии с этой формулой ИС первой степени интеграции содержат до 10 элементов и компонентов, второй от 11 до 100, третьей от 101 до 1000, четвертой – от 1001 до 10000; пятой – 10001 до 100000, шестойот 100001 до 106 и т.д.

Распространены следующие качественные оценки степени сложности ИС:

  •  малая (МИС),
  •  средняя (СИС),
  •  большая (БИС),
  •  сверхбольшая (СБИС).

Ориентировочное соответствие качественных наименований и количественных показателей приведено в табл. 10.1.

Особо быстродействующие ИС называют сверхскоростными интегральными микросхемами (ССИС). Под ССИС обычно понимают ИС, скорость установления которых для цифровых сигналов менее 2,5 не, или ИС, имеющие границу рабочего диапазона не менее 300 МГц.

Интегральные микросхемы по конструктивно-технологическим признакам подразделяют на монолитные, пленочные, гибридные, совмещенные ИС.

В полупроводниковых монолитных ИС все элементы схемы (диоды, транзисторы, резисторы и т.д.) выполнены на основе одного кристалла полупроводникового материала, так называемой активной подложки (обычно монокристалл кремния).

Таблица 10.1

Ориентировочное соответствие качественных наименований и количественных показателей

Наименование: ИС

Вид ИС

Технология изготовления ИС

Количество элементов и компонентов

МИС

Цифровая

Биполярная

1 – 100

Униполярная

Аналоговая

Биполярная

1 – 30

СИС

Цифровая

Униполярная

101 – 1000

Биполярная

101 – 500

Аналоговая

Биполярная

Униполярная

31 – 100

БИС

Цифровая

»

1001 – 10000

Биполярная

501 – 2000

Аналоговая

»

101 – 300

Униполярная

101 – 300

СБИС

Цифровая

»

Более  10000

Биполярная

»  2000

Аналоговая

»

»  300

Униполярная

»  300

В пленочных ИС все элементы представляют собой пленки, нанесенные на диэлектрическое основание (пассивную подложку). Различают тонкопленочные и толстопленочные ИС.

В гибридных ИС пассивные элементы выполнены в виде пленок, нанесенных на диэлектрическую подложку, а активные элементы (диоды, транзисторы и т.д.) являются навесными. Обычно это малогабаритные дискретные элементы или бескорпусные монолитные полупроводниковые ИС, соединенные с соответствующими выводами на подложке с помощью жестких проводников.

Совмещенные ИС изготовляют на основе технологии полупроводниковых и пленочных микросхем, т.е. транзисторы и диоды выполняют так же, как и в полупроводниковых ИС, а пассивные элементы и межсоединения наносят в виде пленок на ту же подложку. Подложка для обеспечения электрической изоляции перед этим окисляется.

ИС обычно является законченным электронным узлом определенного функционального назначения, соответствующие активные и пассивные элементы и компоненты которого выполнены групповым методом с использованием определенных технологических приемов.

Рассмотрим некоторые особенности пассивных и активных элементов, обусловленные технологией изготовления.

Пассивные компоненты ИС. К пассивным компонентам ИС относятся резисторы, конденсаторы, индуктивности и внутрисхемные соединения.

Резисторы в тонкопленочных ИС представляют собой или полоску, или пленку определенной конфигурации, нанесенную между двумя контактами на непроводящем основании (подложке). На рис. 10.4, а, б показаны две основные конфигурации пленочных резисторов.

Рис. 10.4 Конструкция пленочных резисторов (а, б);

структура диффузионного резистора (в);

эквивалентная схема диффузионного резистора (г):

1 – пленка  резистора;  2 – эпитаксиальная  пленка;  3 – подложка

Изменение сопротивления резистора осуществляется как за счет изменения геометрических размеров пленки (ширины, длины и толщины), так и за счет изменения ее материала. Металлопленочные резисторы изготовляют путем осаждения из паров нихрома, тантала, нитрида тантала или смеси металлов с диэлектриком, которые называются керметами. Их применение обеспечивает высокое удельное сопротивление. Керметы получают из хрома и монооксида кремния путем одновременного осаждения их из паров на подложку.

Свойства элементов тонкопленочных ИС во многом зависят от качества подложки, выполненной из стекла, керамики или пластмассы. К микронеровностям поверхности подложки предъявляют жесткие требования. Их размер колеблется от 0,5 до 1,5 мкм.

В монолитных ИС роль резистора выполняет объемное сопротивление участка монокристалла полупроводника, в объеме которого изготовляют монолитную ИС. Кристалл в этом случае является подложкой.

Для получения требуемого номинала резистора размеры соответствующего участка и также проводимость его должны иметь строго определенные значения. Чаще всего резисторы получают путем локальной диффузии примесей через маску, ограничивающую зону резистора. При этом процессе на подложке одновременно создаются базовые или эмиттерные области соответствующих транзисторов. Резисторы, полученные с помощью диффузионной технологии, называют диффузионными. Как правило, они формируются во время процесса базовой диффузии, т.е. одновременно с образованием базовых слоев всех транзисторов. Следует отметить, что при изготовлении ИС на каждой стадии обычно производят двухэтапную диффузию одного типа примесей (донорной или акцепторной).

В процессе такой диффузии на поверхности полупроводника образуется слой оксида, который при следующей диффузии (процесс получения эмиттеров у транзисторов ИС) защищает образовавшийся ранее диффузионный резистор от проникновения в него примесей, создающих другой тип электропроводности. Затем с помощью фотошаблона с использованием метода фотолитографии травлением производят удаление оксида с участков, где предусмотрен контакт. В образовавшиеся окна в вакууме напыляют алюминий, образующий контакты резистора (В). Структура подобного диффузионного резистора представлена на рис. 10.4, в.

Эквивалентная схема диффузионного резистора (рис. 10.4, г) достаточно сложная. В нее входят транзистор, коллекторный переход которого образован эпитаксиальной пленкой и подложкой, а эмиттерный переход образован слоем резистора и эпитаксиальной пленки. Сопротивление контактов и подводящих электродов показано на эквивалентной схеме в виде резистора R1 = 10 Ом. На подложку, являющуюся коллектором транзистора, обычно подается самый низкий потенциал. Эмиттерный переход в нормально работающей схеме закрыт. Если же по какой-либо причине в цепи базы появится ток, например из-за утечки, то в соответствии с принципом действия транзистора в цепи
резистор - подложка начнет протекать ток, в
h21э раз больший тока базы. Поэтому при проектировании схемы необходимо, чтобы слой п находился под самым высоким положительным потенциалом.

Сопротивления диффузионных резисторов не превышают 30 кОм. Погрешность их выполнения 10—20%. Значения барьерных емкостей С1 и С2 невелики, и их влияние сказывается лишь на достаточно высоких частотах.

Конденсаторы. В ИС используются конденсаторы двух типов: тонкопленочные и конденсаторы, основанные на использовании барьерной емкости р-п–перехода.

Тонкопленочные конденсаторы (рис. 10.5, а), представляют собой  трехслойную структуру металл – диэлектрик – металл.

В качестве диэлектрика обычно используют оксид тантала Та2О5, сульфид цинка ZnS, оксид алюминия А12О3 и монооксид кремния SiO или германия GeO и др.

Тонкопленочные конденсаторы неполярны, имеют удовлетворительную добротность. Для увеличения емкости их в отдельных случаях выполняют многослойными. Однако при изготовлении приходится сталкиваться с трудностями получения бездефектных диэлектрических пленок малой толщины (порядка 0,05 мкм). Поэтому достаточно сложно выполнить по этой технологии конденсаторы с большой емкостью.

Рис. 10.5 Структура тонкопленочного конденсатора (а);

структура конденсатора, у которого роль обкладки выполняет кремниевая подложка (б), и его эквивалентная схема (в):

1 – диэлектрик; 2 – обкладки конденсатора; 3 – подложка; 4 – эпитаксиальный слой; А, В— выводы

В ряде случаев одной из обкладок конденсатора является кремниевая подложка (в случае монолитных ИС), на которой методом окисления получен слой диэлектрика SiO2. На диэлектриках, в свою очередь, напылена вторая обкладка. Структура и эквивалентная схема такого конденсатора показаны на рис. 10.5, б, в. Как видно из эквивалентной схемы, кроме емкости С имеется ряд дополнительных элементов: сопротивление R1 характеризующее неидеальность диэлектрика и наличие объемного сопротивления у слоя р; емкость С1 между слоем р и эпитаксиальным слоем; диод VD, который при неправильно выбранном потенциале между соответствующими электродами может открыться.

Конденсаторы полупроводниковых ИС могут выполняться в виде запертого р-п–перехода. Технология их изготовления аналогична технологии изготовления резисторов. Их также создают одновременно с формированием соответствующих областей транзисторов. Поэтому удельная емкость и все свойства конденсаторов определяются требованиями, которые необходимо выполнить для получения у транзисторов оптимальных характеристик. Структура конденсатора монолитных ИС и его эквивалентная схема показаны на рис. 10.5, а, б.

Эквивалентная схема кроме полезной емкости С, образованной р-п–переходом VD1, содержит паразитные элементы: р-п–переход VD2, образовавшийся между эпитаксиальным слоем и подложкой, создающей емкость С1; сопротивление R≈10  60 Ом,  обусловленное наличием объемного сопротивления у слоя р. Такой конденсатор является полярным, его емкость изменяется в зависимости от значения приложенного напряжения. Кроме того, наличие паразитной емкости создает паразитную связь конденсатора с подложкой. Другие элементы ИС также имеют емкостную связь с подложкой.

Рассмотренные способы не позволяют изготовлять емкости, удельное значение которых более 1600 пФ/мм2, поэтому ИС проектируют так, чтобы в них по возможности отсутствовали конденсаторы.

Индуктивности. При создании ИС наибольшую трудность представляет изготовление катушек индуктивности. В настоящее время для этого используют только тонкопленочную технологию, согласно которой индуктивные катушки получают осаждением на подложку материала, имеющего малое удельное сопротивление. Их обычно выполняют в виде спирали с малым шагом
(рис. 10.6,
в). Тонкопленочные индуктивные катушки имеют размеры, значительно большие размеров других компонентов ИС. Номинальные значения их индуктивности не превышают 10 мкГн.

Рис. 10.6 Структура конденсатора монолитных ИС (а); его эквивалентная схема (б); пленочная индуктивная катушка (в); структура полевого транзистора, выполненного по технологии КНС (г):

1 – эпитаксиальный слой; 2 – подложка; 3 – тонкая пленка; А, В – выводы

Для изготовления трансформаторных элементов нет разработанной технологии, поэтому в ИС, где необходимо использовать катушки с большими индуктивностями или трансформаторы, эти элементы делают навесными. Некоторые возможности по созданию эквивалентов индуктивных катушек имеются при использовании пьезокерамических кристаллов.

Трудности, возникающие при изготовлении индуктивных катушек, заставляют при разработке ИС почти полностью отказаться от их использования.

Внутрисхемные соединения. Отдельные элементы внутри интегрального узла обычно соединяют с помощью напыленного в вакууме тонкого слоя алюминия. Получающиеся при этом соединения имеют относительно большие значения сопротивления (до нескольких Ом). Кроме того, они имеют распределенную емкость относительно подложки ИС, что необходимо учитывать при их проектировании.

Транзисторы ИС. Полевые и биполярные транзисторы, применяемые в интегральных микросхемах, изготовляют по технологии монолитных ИС. Иногда используют отдельные дискретные миниатюрные бескорпусные транзисторы, поскольку тонкопленочная технология пока не всегда позволяет получать биполярные транзисторы удовлетворительного качества.

Технологию, по которой изготовляют тонкопленочные полевые транзисторы, условно называют «кремний на сапфире» (КНС). При этом в качестве подложки используют синтетический сапфир, на котором с помощью эпитаксиального наращивания выращивают пленку кремния толщиной 1 мкм и более, на которой выполняют транзистор (рис. 10.6, г). Ввиду хороших диэлектрических свойств сапфира емкости между областями стока, истока и -подложкой практически отсутствуют, что приводит к существенному увеличению быстродействия компонентов. Полевые транзисторы, выполненные с применением технологии КНС, работают до частоты 250 МГц и выше. Так как сапфировая подложка не меняет своих параметров при радиационном облучении средней мощности, компоненты, изготовленные по этой технологии, имеют высокую радиационную стойкость.

Биполярные транзисторы монолитных ИС по сравнению с дискретными транзисторами имеют более высокое сопротивление коллектора из-за необходимости выводить контакт наверх и добавления сопротивления (кристалла) между коллекторным контактом и переходом. Для уменьшения этого сопротивления под коллекторным переходом иногда создают сильно легированный скрытый слой с большой удельной проводимостью.

Технология изготовления монолитных ИС сводится к следующему. В пластинку кремния (подложку), имеющую электропроводность р-типа, проводят локальную диффузию мышьяка для формирования скрытого слоя п+. Затем на нее наращивают эпитаксиальный слой п. Полученную поверхность окисляют. В результате получается диэлектрический слой оксида SiO2, который называют маскирующим. Маскирующие свойства его основаны на том, что скорость диффузии примесей, используемых для получения областей транзистора, в нем значительно меньше, чем в кремнии. Поэтому в процессе диффузии последняя происходит только на участках, свободных от SiO2.

Используя фотошаблон базового слоя и процесс фотолитографии, в маскирующем слое травлением вскрывают окно под базу транзистора. Далее проводится двухэтапная диффузия атомов бора. В результате в эпитаксиальном слое появляется зона с электропроводностью р-типа. Вследствие особенностей процесса двухэтапной диффузии примесей бора вся поверхность вновь покрыта оксидом. Затем с помощью фотошаблона и фотолитографии вскрывают окна под эмиттер транзистора и под контакт к коллектору. В эти окна проводят двухэтапную диффузию примесей фосфора. В результате образуются область эмиттера и низкоомная область для подключения коллекторного контакта. После диффузии вся поверхность пластины покрыта оксидом. В этом оксиде тем же методом вскрываются окна под выводы контактов эмиттера, коллектора и базы. Затем в вакууме напыляют слой алюминия и, используя фотолитографию, получают рисунок соединений с другими элементами ИС.

Перечисленные процессы являются групповыми и проводятся одновременно для пластины, на которой располагаются десятки – сотни микросхем, имеющих значительное количество транзисторов.

Полевые транзисторы с управляющим р-п–переходом и МОП-транзисторы изготовляют по технологии монолитных ИС или по КНС-технологии. Особенности технологии изготовления полевого транзистора определяются в основном видом и концентрацией вводимых примесей.

Различают р-МОП–, п–МОП– и kМОП–технологии. Компоненты, выполненные по р-МОП-технологии (с каналом типа р), имеют малое быстродействие, большое пороговое напряжение, дешевы, просты в изготовлении, имеют большой выход годных изделий.

Технология п–МОП более сложна, позволяет изготовлять транзисторы с меньшим пороговым напряжением, каналом типа п, большими быстродействием и плотностью элементов.

В технологии комплементарных приборов k–МОП используются комбинации процессов, используемых в п-МОП- и р-МОП-технологиях. Поэтому производство более дорогостоящее, а плотность элементов на кристалле малая. Однако при небольших напряжениях быстродействие приборов, выполненных по этой технологии выше, чем у приборов, выполненных по п–МОП-технологии. Кроме того, такие ИС потребляют очень малую мощность и могут работать при значительных изменениях напряжения питания.

МОП-транзисторы ИС выполняются или с технологически встроенным, или с индуцированным каналом. При изготовлении МОП-транзисторов количество ответственных операций, влияющих на процесс выхода годных микросхем, значительно меньше, чем при изготовлении биполярных транзисторов. Роль диэлектрика между затвором и каналом выполняет диоксид кремния SiO2, что хорошо согласуется с основными технологическими процессами. В отличие от своего дискретного аналога полевые транзисторы с управляющим р-п-переходом значительно реже применяют в ИС, чем МОП-транзисторы.

Диоды, используемые в ИС, выполняют либо по технологии монолитных интегральных микросхем, либо применяют дискретные навесные. Для упрощения технологического процесса в монолитных ИС в качестве диодов используют транзисторы, выводы которых на стадии формирования контактов соединяют между собой.

Изоляция компонентов в монолитных интегральных узлах. Так как монолитные ИС изготовляют на полупроводниковой подложке, то необходима изоляция отдельных элементов и компонентов. Наиболее распространены два метода изоляции: с помощью дополнительных р-п–переходов, смещенных в обратном направлении; с помощью диэлектрика, которым служит слой SiO2.

При методе изоляции с помощью дополнительных р-п–переходов на каждый элемент требуется дополнительный р-п–переход. В этом случае разделение элементов осуществляют операцией выращивания и окисления эпитаксиального слоя.. На подложке с эпитаксиальным слоем, покрытой оксидом, с помощью фотолитографии вскрывают окна под изолирующий контур и проводят двойную диффузию примесей бора на глубину, обеспечивающую смыкание диффузионных р-областей с подложкой р-типа (рис. 10.7, а). В итоге таких операций (которые называют разделительной диффузией) образуются островки эпитаксиального слоя с электропроводностью п–типа. На этих островках и формируют в дальнейшем отдельные элементы и компоненты.

Рис. 10.7 Структура подложки, на которой компоненты изолированы

с помощью р-п–перехода (а) и с помощью диэлектрика (б):

1 – эпитаксиальная пленка; 2 – подложка

Переходы р-п, полученные таким образом, заперты за счет обратного напряжения, приложенного к ним, и компоненты практически изолированы друг от друга. Недостаток подобной изоляции – значительная паразитная емкость у запертого р-п–перехода; пробивное напряжение порядка 20—60 В. Токи утечки, вызванные обратным током запертого р-п–перехода, зависят от температуры подложки и отдельных компонентов ИС.

Лучшие результаты могут быть получены при изоляции компонентов с помощью пленки SiO2. При этом пробивное напряжение увеличивается, а токи утечки и емкость уменьшаются. Технология выполнения подобной изоляции сводится к следующему. На подложке с помощью фотолитографии и травления выполняют углубления. Затем поверхность окисляют, получая слой диэлектрика SiO2, и наращивают на нем эпитаксиальную пленку электропроводности п–типа. После этого пластину шлифуют до слоя SiO2. При этом эпитаксиальные островки останутся только в лунках, образовавшихся при травлении. Получают изолированные карманы с электропроводностью п–типа (рис. 10.7, б), в которых формируют соответствующие компоненты.

При изготовлении большинства типов интегральных монолитных ИС используют планарно-эпитаксиальную технологию, которая сводится к такой последовательности операций:

1) на подложке кремния с электропроводностью р-типа выращивают эпитаксиальную пленку с электропроводностью п–типа, которая является коллекторной областью транзисторов, частью резисторов, диодов и конденсаторов;

2) затем поверхность окисляют до получения пленки толщиной
0,3 – 0,7 мкм;

3) на окисленную поверхность с помощью фотолитографии наносят требуемый рисунок и производят селективное травление окисла для вскрытия окон.

4) после этого проводят разделительную диффузию примесей бора;

5) наносят рисунок баз транзисторов, резисторов, конденсаторов, элементов диодов и производят селективное травление окисла;

6) проводят диффузию примесей бора, при которой образуются области без транзисторов, резисторов, конденсаторов и т.д.;

7) наносят рисунки эмиттеров транзисторов, элементов диодов, конденсаторов и производят селективное травление оксида;

8) проводят диффузию примесей;

9) наносят рисунки выводов и производят селективное травление;

10) производят вакуумное напыление пленки алюминия;

11) производят селективное травление алюминия по требуемому рисунку соединений;

12) выполняют разрезку пластины на отдельные интегральные схемы, размеры которых зависят от их сложности (порядка 0,5×0,5 – 2,5×2,5 мм).

Технология изготовления ИС непрерывно совершенствуется. Так, при изготовлении аналоговых ИС широко применяется ионная имплантация, обеспечивающая хорошее дозирование и введение примесей на заданную глубину. Развивается технология ИС, выполняемых на основе арсенида галлия, у которого подвижность носителей заряда в пять раз больше, чем у кремния, что будет способствовать созданию ИС большого быстродействия. Проводятся работы по использованию в качестве подложек нитридов и карбидов, которые позволяют повысить рабочую температуру ИС.

Основные технологические процессы, используемые при изготовлении полупроводниковых приборов и интегральных микросхем

Рассмотрим некоторые технологические процессы, применяемые при изготовлении полупроводниковых приборов и интегральных микросхем.

Сплавление полупроводника с металлами или их сплавами — это технологический процесс, который состоит в том, что в пластину полупроводника вплавляют металл или сплав металла, содержащий примеси, необходимые для образования зоны с электропроводностью требуемого типа. Для сплавления полупроводника с металлами на пластину полупроводника помещают таблетку примеси. Затем систему нагревают до температуры, при которой примесь расплавится и начнется частичное растворение материала полупроводника в примесном материале. После охлаждения в полупроводнике образуется область с электропроводностью требуемого типа. Сплавные р-п–переходы относятся к числу резких (ступенчатых). Они имеют высокую надежность, работоспособность при больших обратных напряжениях, малое собственное сопротивление р-п–областей, что при прямом смещении р-п–перехода обеспечивает малое падение напряжения на них. Этот технологический процесс широко применяют при массовом изготовлении сплавных диодов и транзисторов.

Электрохимические методы получения р-п-переходов применяют, когда необходимы малые расстояния между р- и п-областями (например, в транзисторе можно получать расстояние между эмиттером и коллектором порядка 3 – 4 мкм). Сущность метода состоит в электрохимическом осаждении металла на поверхность полупроводника. В результате реакции образуется контакт металл – полупроводник, свойства которого зависят от физических характеристик материалов.

В редких случаях применяют комбинирование электрохимического осаждения и сплавления. Для этого полупроводник, в лунках которого произведено осаждение металла, нагревают до температуры, необходимой для вплавления последнего в полупроводник. Такую технологию создания р-п–переходов называют микросплавной.

При чем р-п–переходы, полученные электрохимическим осаждением и сплавлением, обычно используют при производстве высокочастотных полупроводниковых приборов.

Диффузия – это процесс, с помощью которого на поверхности или внутри пластины полупроводника получают р- или п–области путем введения акцепторных или донорных примесей. Проникновение примесей внутрь пластины полупроводника происходит за счет диффузии атомов, находящихся в составе паров, в атмосферу которых помещена нагретая до высокой температуры полупроводниковая пластина.

Так как атомы примеси диффундируют из области высокой концентрации со скоростью, определяемой коэффициентом диффузии, то наибольшая концентрация примесей наблюдается у поверхности полупроводника. С увеличением расстояния от поверхности вглубь полупроводника концентрация примесей монотонно убывает.

Переход р-п возникает в области, где концентрация носителей заряда близка к той, которая имеется у материала без примеси (при собственной электропроводности). Ввиду неравномерного распределения примеси по толщине в области, полученной диффузией, имеется собственное электрическое поле.

Разница в значениях коэффициентов диффузии у разных материалов использована для одновременного получения двух областей с разным типом электропроводности. Так, для германия коэффициент диффузии донорных примесей на несколько порядков выше коэффициента диффузии акцепторных примесей, а в кремнии наблюдается обратная картина. По этому, если пластину полупроводника поместить в высокотемпературную среду газа, содержащего пары как донорных, так и акцепторных примесей, атомы примесей с большим коэффициентом диффузии проникнут глубже внутрь полупроводника и создадут область с соответствующей электропроводностью. Атомы примесей с меньшим коэффициентом диффузии образуют вблизи поверхности полупроводника область с противоположным типом электропроводности. При этом необходимо, чтобы концентрация примесей с малым коэффициентом диффузии была значительно больше концентрации примеси с большим коэффициентом диффузии. Качество процесса диффузионного получения переходов во многом зависит от точности поддержания требуемой температуры. Например, при температуре 1000 – 1200°С изменение ее на несколько градусов может в два раза изменить коэффициент диффузии.

Двухстадийную (двухэтапную) диффузию применяют для уменьшения влияния изменения температуры на качество полупроводниковых приборов, получаемых методом диффузии. В первой стадии на поверхности полупроводниковой пластины при сравнительно низкой температуре получают стеклообразный слой, содержащий легирующие примеси. Во второй— полупроводниковую пластину помещают в печь с более высокой температурой, при которой диффузия примесей происходит из стеклообразного слоя в глубь пластины, а на поверхности полупроводника остается диэлектрическая пленка оксида. Двухстадийный процесс диффузии часто используют при введении примесей бора в кремний. В качестве источника примесей используется борный ангидрид В2О3. Нагревая пластину и борный ангидрид в атмосфере водорода, на поверхности ее получают слой боросиликатного стекла. Нагрев пластины до более высокой температуры обеспечивает диффузию бора из слоя стекла внутрь пластины. При этом поверхность оказывается покрытой оксидом SiO2, который является диэлектриком. Таким образом, при двухстадийной диффузии осуществляется дозированно введение примесей из стеклообразного слоя в полупроводник.

Эпитаксией называют процесс выращивания одного монокристалла на грани другого. Полупроводниковые эпитаксиальные пленки могут быть получены различными способами: термическим испарением в вакууме, осаждением из парообразной фазы, распылением в газовом промежутке. Изменяя тип примеси и условия выращивания можно в широких пределах изменять электрические свойства эпитаксиальной пленки. Следует отметить, что процесс эпитаксии при изготовлении полупроводниковых элементов может заменить процесс диффузии.

Ионное легирование сводится к бомбардировке в вакууме нагретой полупроводниковой пластины ионами примеси, ускоренными до определенной скорости. Ионы, внедрившиеся в полупроводниковую пластину, играют роль донорных или акцепторных примесей. Это позволяет, не прибегая к процессу диффузии, получать зоны, имеющие определенный тип электропроводности. Такую технологию называют элионной.

В настоящее время в производстве полупроводниковых приборов используют ионную имплантацию – легирование примесями одного из изотопов бора. При этом для маскирования используют или тонкий слой алюминия, или толстый слой диоксида кремния.

Вакуумное напыление заключается в следующем. Напыляемый металл нагревают в вакууме до температуры испарения. Затем его осаждают на покрываемую поверхность, имеющую сравнительную низкую температуру. Для получения требуемого «рисунка» напыление производят через металлические маски, имеющие соответствующие прорези.

Катодное распыление применяют для осаждения тугоплавких соединений. Процесс основан на явлении разрушения катода при бомбардировке его ионизированными атомами разреженного газа. Инертный газ, например аргон, вводят в испарительную камеру под давлением 1 – 102 Па. В системе создают тлеющий разряд. Ионы газа интенсивно бомбардируют катод, в результате чего его атомы приобретают необходимую энергию и вылетают с поверхности катода. Затем они попадают на полупроводниковые пластины и, оседая на них, покрывают полупроводник слоем металла.

Электролитические и химическое осаждение применяют при наличии электропроводной подложки из инертного по отношению к электролиту материала. На нее электролитическим или химическим путем осаждается пленка из водного раствора солей металлов (электролита).

Оксидное маскирование используют для того, чтобы обеспечить диффузию только в определенные участки пластины, а остальную поверхность защитить от проникновения атомов примеси. Хорошей маской, ограничивающей области, диффузии, является диоксид кремния SiO2. Это объясняется тем, что скорость диффузии примесей в диоксиде кремния значительно меньше, чем в чистом кремнии. Кроме того, диоксид кремния является хорошим диэлектриком. Поэтому окисление – неотъемлемый этап технологического процесса изготовления интегральных микросхем. Для получения оксида пластину нагревают до температуры 900 – 1200 °С в атмосфере влажного кислорода. В полученной пленке оксида согласно схеме в последующем вытравливают окна. Этот процесс обычно применяют при изготовлении кремниевых интегральных микросхем.

Фотолитография – это процесс получения на поверхности пластины требуемого рисунка. Поверхность полупроводника, маскированного оксидной пленкой, покрывают фоторезистором (светочувствительным слоем). Затем для обеспечения равномерности покрытия пластину помещают на центрифугу и сушат. После этого экспонируют поверхности ультрафиолетовым излучением через маску, на которой выполнен требуемый рисунок в виде прозрачных и непрозрачных участков. Участки фоторезистора, оказавшиеся освещенными, будут задублены, а с неосвещенных (незадубленных) участков фоторезист удаляют специальным составом.

Травление используют для того, чтобы с участков, не защищенных задубленным фоторезистором плавиковой кислотой, стравить диоксид кремния. В результате в оксидной пленке образуются окна, через которые и производится диффузия.

А теперь о некоторых из этих технологических процессов более подробно.

10.2. Эпитаксия

Физические основы процесса эпитаксии

Эпитаксия – ориентированный рост слоев, кристаллическая решетка которых повторяет структуру подложки. Если подложка и слой состоят из одного вещества, то процесс называют автоэпитаксиальным, если из различных – гетероэпитаксиальным.

Хэмоэпитаксия – процесс образования новой фазы при химическом взаимодействии вещества подложки с веществом растущего слоя.

Стремление произвольной системы к минимуму свободной энергии приводит к тому, что в процессе эпитаксии растущие слои ориентируются с некоторым соответствием по отношению к соприкасающейся атомарной плоскости подложки. Применимо к эпитаксии несоответствие кристаллических решеток d может быть определено как:

 (10.1)

Индекс i использован для обозначения двух выбранных направлений на поверхности раздела; ai, bi, — нормальные межатомные расстояния в пленке и подложке в направлении i.

Когерентная поверхность раздела – поверхность, через которую осуществляется совершенное сопряжение атомов обеих веществ (ai = bi). Обычно такие поверхности (плоскости) определяют в индексах Миллера.

Существует несколько моделей, объясняющих характер сопряжений смежных атомных плоскостей двух веществ. Если параметры решетки веществ отличаются несущественно — говорят о псевдоморфизме — приспособлении межатомных расстояний наращиваемого кристалла к межатомным расстояниям материала подложки. Однако, в большинстве случаев наблюдается скачкообразное изменение параметра решетки на границе раздела и появление дислокаций, понижающих возникающие механические напряжения.

Подложка оказывает существенное влияние на процесс кристаллизации наращиваемого вещества. Атом, приходящий из внешней фазы, может передать ей свою кинетическую энергию. Силы связи между подложкой и адсорбированными атомами удерживают последние на поверхности; кристаллохимические особенности подложки влияют на скорость поверхностной диффузии адсорбированных атомов, и тем самым, на кристаллографическую ориентацию охлаждаемой пленки. Несовершенства строения поверхности подложки также оказывают влияние на характер распределения и строение наращиваемого материала.

В процессе проведения эпитаксиального роста при высоких пересыщениях легко образуются зародыши различных ориентации и эпитаксия отсутствует. При увеличении температуры подложки может произойти и изменение ориентации роста.

Методы проведения эпитаксии

Конденсация из паровой фазы в вакууме. Атомы полупроводника переносятся непосредственно от источника к кристаллу – подложке без промежуточного взаимодействия путем испарения, сублимации, распыления и др. Количество атомов или молекул, осевших на подложке, существенно зависит от температуры подложки, состояния ее поверхности, природы оседаемых атомов, их кинетической энергии и угла падения (рис. 10.8, а).

Рис. 10.8 Испарение и нагрев подложки электронной бомбардировкой при эпитаксии:

1 – нить накала источника; 2 – электростатический экран; 3 – пары кремния; 4, 8 – электронный пучок; 5 – нить подогрева подложки;

6 – кремниевая подложка; 7 – расплавленный кремний; 9 – экран;

10 – основание с водяным охлаждением (нулевой потенциал);

11 – твердый кремний

Кинетика процесса кристаллизации в значительной степени зависит от миграции адсорбированных атомов кристалла. Если бы оседающие частицы связывались с поверхностью в точках поступления, то всегда образовывался бы аморфный пористый слой вещества. Однако частицы обладают значительной подвижностью.

Тепловое рассеивание при эпитаксии осуществляется в момент соударения и во время миграции атомов по подложке. Тепловое равновесие характеризуется временем жизни адсорбированных атомов .

Эпитаксию следует проводить как можно в более глубоком вакууме, так как интенсивность потока остаточного газа, бомбардирующего подложку в вакууме 0,13 – 10–3 Па, эквивалентна нарастанию одного монослоя в секунду.

При автоэпитаксии кремния атомы, двигаясь по нагретой поверхности, занимают положения, соответствующие кристаллической структуре подложки, формируя плоскую двумерную решетку из островков, растущих вдоль поверхности (рис. 10.8, б). Конденсация из молекулярного потока на холодной подложке приводит к образованию поликристаллических или аморфных пленок. При подогреве подложки свыше 1273 К получают монокристаллические пленки, качество которых зависит от состояния подложки и остаточного давления газов. Для обеспечения чистоты процесса испарение кремния производят путем прямого разогрева электрическим током пластины кремния, отстоящей от подложки на (1 10)×102 мкм. Получаемые данным методом поли- и монокристаллические пленки имеют большие удельные сопротивления. На рис. 10.9 приведена зависимость скорости испарения кремния от температуры.

В зависимости от способа доставки кремния к подложке различаю следующие методы получения эпитаксиальных слоев кремния в вакууме:

1) метод молекулярных пучков в вакууме;

2) сублимация;

3) катодное распыление.

Общим для данных методов является то, что кремний при испарении и переносе от источника к подложке, а затем кристаллизации не претерпевает каких-либо необратимых химических изменений. Отличаются они друг от друга методикой испарения кремния, условиями осаждения и аппаратурным оформлением процесса.

Метод молекулярных пучков в вакууме (МПВ).

Данный метод основан на испарении кремния из жидкой фазы (источника) и последующей его кристаллизации на поверхности разогретой подложки, располагаемой вблизи от источника. Способы испарения кремния в методе МПВ можно классифицировать как тигельные и бестигельные (или автотигельные).

Для изготовления тиглей используют Al2O3, ThO, SiO2 и графит. Слои кремния, полученные таким образом, обычно загрязнены материалом тигля из-за большой химической активности кремния в расплавленном состоянии.

Для получения эпитаксиального кремния наиболее широкое распространение находят бестигельные способы.

Подлежащий испарению кремний в виде стержня помещается внутри вольфрамовой спирали, которая является источником электронов. Испарение кремния осуществляется из капли, образующейся при электронной бомбардировке конца стержня. Каплю расплавленного кремния можно поддерживать в подвешенном состоянии внутри высокочастотного индуктора или образовывать в виде перетяжки в месте контакта двух кремниевых электродов, по которым пропускают электрический ток.

Способ испарения кремния из жидкой фазы при пропускания тока через брусок кремния основан на сильной температурной зависимости электропроводности кремния и скачке проводимости при переходе от твердого к жидкому состоянию (рис. 10.10). Охлаждение нижней грани бруска при пропускании через, него тока позволяет испарять кремний из жидкой фазы, образующейся в центре верхней грани бруска и покоящейся на твердой нижней части. Этот способ позволяет осаждать слои кремния со скоростью 0,05 – 0,1 мкм/мин.

Испарители с электронно-лучевым нагревом кремния обладают лучшими технологическими характеристиками.

Типичное устройство для электронно-лучевого нагрева кремния изображено на рис 10.11. Источник кремния заземлен и нагревается электронным пучком. Под действием высокого потенциала (на катоде 9 кВ), окружающего источник, электроны ускоряются и фокусируются на заданном участке слитка на аноде, Качество фокусировки пучка зависит от геометрии системы, но в правильно сконструированном устройстве нагрев ограничивается участком менее 3 мм в диаметре.

Испаряемое в подложку вещество располагают в геометрической тени относительно источников электронов для ограничения загрязнений, выделяющихся из катода. Расплавленный кремний располагается на поверхности твердого кремния и контактирует только с ним. Слиток в целом находится на водоохлаждаемой подставке, что предотвращает загрязнение испаряемого кремния материалом тигля.

   

Этот способ нагрева позволяет поддерживать температуру источника на несколько сотен градусов выше точки плавления кремния, благодаря чему достигаются высокие скорости наращивания (до 4 мкм/мин) при минимальных загрязнениях.

Нагрев подложек осуществляют различными способами: проволочными и ленточными нагревателями, изготовленными из тантала, молибдена или вольфрама, или расфокусированным электронным лучом.

Осаждению слоев кремния предшествует подготовительный этап, заключающийся в последовательном обезгаживании нагревателей, источника и подложки кремния. После предварительной высокотемпературной обработки подложки (до 1623 К) ее температуру снижают до заданной. С момента открытия заслонки, расположенной между источником и подложкой, начинается процесс наращивания слоев кремния.

Сублимация. Метод основан на испарении кремния с разогретой до высокой температуры (1623 К) поверхности твердого образца и последующей его кристаллизации на располагаемой вблизи от источника поверхности подложки, имеющей более низкую температуру при давлении остаточных газов 133×(10-7 – 10-10) Па.

При сублимации кремния применяют следующие способы разогрева источника: прямое пропускание тока через нить или брусок кремния, индукционный и электронно-лучевой нагрев. Нагрев подложек производится лампами накаливания, установленными вне камеры, излучением от источника кремния, ленточными нагревателями, пропусканием тока через кремниевую подложку или расфокусированным электронным лучом.

Схема одного из устройств для получения эпитаксиальных слоев кремния методом вакуумной сублимации показана на рис. 10.12.

Подложка кремния устанавливается на подставке, изготовленной из монокристаллического кремния, которая является одновременно и источником кремния. Подставка нагревается с помощью высокочастотного индуктора (Р = 3 кВт; f = 1,5 МГц) до температуры 1623 – 1653 К. При этом возможно нагревание подставки излучением до 1373 К. Скорость роста слоев составляет 0,3 мкм/мин.

Независимо от метода осаждения слоев кремния выбранный способ нагрева подложки должен:

  •  исключать возможность загрязнения слоев,
  •  обеспечивать равномерность нагрева всей поверхности подложки,
  •  обладать стабильностью в поддержании заданной температуры,
  •  позволять регулирование температуры в широких пределах.

Применение того или иного способа нагрева подложки большей частью обусловлено конструктивными особенностями используемого оборудования или выбранного метода осаждения. Так, в методе сублимации чаще используют нагрев подложки пропусканием тока аналогично нагреву испарителя или применяют проволочные и ленточные нагревателя. В последнем способе возможно загрязнение слоев примесями от нагревателей.

Метод получения слоев кремния, основанный на сублимации кремния, проще в аппаратурном оформлении, проводится в сравнительно «чистых» вакуумных условиях, однако имеет низкие скорости осаждения (максимальная 0,3 мкм/мин.), малый кпд и обладает ограниченными возможностями при изготовлении полупроводниковых приборов.

Самыми существенными переменными в процессе осаждения слоев кремния методами сублимации из МПВ являются давление паров кремния и температура подложки. Давление пара, как правило, регулируется температурой источника. На плотность молекулярного пучка, кроме температуры источника, влияют также геометрические факторы (размер зоны испарения и расстояние до подложки). Отношением плотности падающего молекулярного пучка к плотности обратного потока, вызванного испарением с поверхности подложки при заданной температуре, определяется пересыщение пара в области фронта кристаллизации. От степени этого пересыщения зависит и скорость осаждения слоев. Скорость осаждения регулируется также изменением расстояния подложка – испаритель.

Катодное распыление. Данный метод распыления отличается от двух вышеописанных тем, что процесс осуществляется в среде инертного газа (обычно аргона) при давлениях 133×(10-2 – 10-4) Па. Схема устройства для катодного распыления кремния приведена на рис. 10.13. Испаряемый материал в этом случае является катодом тлеющего разряда. Атомы и ионы материала, выбитые с поверхности катода положительными ионами аргона, конденсируются на разогретой до заданной температуры подложке. Степень пересыщения при катодном распыленна для различных температур подложки определяется по изменению ионного тока между электродами.

Достоинства этого процесса – легкость очистки поверхности, простота оборудования, отсутствие тиглей, достаточная универсальность, неизменность состава легированного кремния в процессе его осаждения, сравнительно низкая температура кристаллизации (1023 – 1173 К). Однако скорости катодного распыления кремния очень низки. Кроме того, наличие газов в рабочей камере, необходимых для поддержания тлеющего разряда, приводит к загрязнению слоев в процессе распыления.

Кристаллизация из газовой фазы. Атомы полупроводника переносятся в составе химического соединения, которое диссоциирует на подложке. При этом происходит перенос реагентов к поверхности кристаллической подложки; адсорбция и реакции реагентов на поверхности; десорбция продуктов реакции; перенос продуктов реакции из кристалла к основному потоку и упорядочение кристаллизации адсорбированных атомов кремния в решетке. Рост достаточно толстых совершенных монокристаллических слоев обеспечивается исправлением образующихся дефектов роста.

Осаждение при нормальном давлении. В настоящее время в технологии полупроводников осаждение из газовой фазы при нормальном давлении применяется для эпитаксиального выращивания кремния, осаждения пленок SiО2, Si3N4, полукристаллического кремния и др. Этот метод обеспечивает достаточную однородность наносимых покрытий и может проводиться при низкой температуре. Для осаждения пленок поликристаллического кремния Ии нитрида кремния используются установки вертикального и горизонтального типов с нормальным давлением.

Схема горизонтального эпитаксиального реактора, использующего высокочастотный нагрев, представлена на рис. 10.14. В табл. 10.2 указаны основные газы, применяемые в реакторе, и их назначения.

Рис. 10.14 Схема установки для выращивания

эпитаксиальных пленок кремния с горизонтальным реактором

высокочастотного нагрева

Таблица 10.2

Газы и их назначение при использовании в процессе эпитаксиального осаждения

Газ

Назначение

Примечание

N2

Газоноситель

Очищает от взрывоопасных и токсичных газов, имеющихся в реакторной трубе перед напуском воздуха

н2

Газоноситель

Наиболее часто используемая среда для выращивания эпитаксиальных слоев

SiCl

Источник Si

Обычно жидкий источник Si испаряется в пузырьках Н2, пар коррозионный, температурный интервал 1423 – 1473 К, скорость роста 0,2 – 10 мкм/мин

SiH4

Источник Si

Обычно газообразный источник Si, пирофор-ный газ, температурный интервал 1273 – 1323 К, скорость роста 0,2 – 1,0 мкм/мин

НCl

Травитель Si

Наиболее часто используемый травитель для подготовки поверхности подложки, коррозионный токсичный газ

PH3

Легирование Si

Наиболее часто используемый источник фосфора для легирования эпитаксиальных пленок, воспламеняющийся токсичный газ

AsH3

Легирование Si

Свойства, аналогичные РН3 

Sb(CH3)3

Легирование Si

Жидкий источник сурьмы, используемый как испаритель при концентрации нескольких сотен на миллион молекул Н2, используется вместо нестабильного SbH3; пар токсичен

B2H6

Легирование

Свойства, аналогичные РН3

Осаждение при низком давлении. Установки, работающие при низком давлении, просты конструктивно, производительны, обеспечивают хорошую однородность пленки, имеют малое газопотребление. В таких установках
(рис. 10.15) в качестве газа – носителя используется гелий. При осаждении пленки поликристаллического кремния путем пиролиза силана можно легко одновременно обрабатывать 50 пластин диаметром 102 мм. Однородность по толщине составляет
 2 %. С меньшей однородностью по толщине на этой установке возмодно получение пленок Si3N4 (табл. 10.3).

Таблица 10.3

Основные типы реакций осаждения, используемые в установках осаждения из газовой фазы при низком давлении

Осаждаемая пленка

Уравнения реакции осаждения

Поликристаллический кремний

Нитрид кремния

Диоксид кремния

Плазменное осаждение. Метод плазменного осаждения нитрида кремния имеет существеннее преимущества перед другими методами. За счет регулирования величины потока газа (рис 10.16) в зависимости от уровня
ВЧ – мощности и условий распределения можно получить пленку с высокой однородностью на большой площади. В установке используется система газов
N2 NH4 + SiH4 и осаждается пленка состава SiхHyN2 температура обработки 473 – 573 К, вакуум – 0,26102 Па, ВЧ - мощность 500 Вт, скорость осаждения пленки 0,67 нм/с.

Наиболее широко используемым соединением для осаждения кремния является силан (SiH4), который, вследствие высокой температуры осаждения, мало чувствителен к окислению, приводящему к возникновению поверхностных дефектов.

Установки для эпитаксиального выращивания восстановлением тетрахлорида кремния имеют вертикальный или горизонтальный реактор. Перед осаждением пластины кремния обрабатывают в потоке хлористого водорода при 1473 К для удаления остаточной поверхностной пленки SiO2, затем в реактор подается водород, насыщенный парами тетрахлорида, и на пластине происходит восстановление SiCl до атомарного кремния

Скорость роста пленки кремния пропорциональна парциальному давлению силана, ее температурные зависимости для вертикального 1 и горизонтального 2 реакторов представлены  на рис. 10.17.

Рис. 10.17 Температурная зависимость скорости роста пленки кремния для вертикального 1 и горизонтального 2 реакторов

Увеличение содержания SiCl4 до 5% приводит к увеличению скорости роста пленки (рис. 10.18); затем скорость уменьшается вследствие неполного восстановления SiCl4. При невысоких температурах подложки и больших содержаниях SiCl4 образуются рыхлые аморфные пленки, увеличение температуры и уменьшение мольной доли SiCl4 обуславливают уплотнение пленок. Выращивание производят при температурах подложки 14731553 К, молярном содержании SiCl4 1 - 3% и скорости протока водорода (2 – 3)×105 м3/с. Оптимальная скорость роста 16 – 20 нм/с.

Кремниевая эпитаксия широко применяется в технологии кремний на сапфире (КНС), где на сапфировой подложке выращивается эпитаксиальный слой кремния толщиной 0,5 – 1,0 мкм, в котором путем травления получают островки для формирования р– и п–областей. В результате достигается высокая плотность упаковки элементов с хорошей изоляцией без паразитных связей.

Жидкофазная эпитаксия. Заключается в наращивании монокристаллического слоя из металлического расплава, насыщенного полупроводниковым материалом, рекристаллизующимся на поверхности подложки. При термическом равновесии подложки с раствором наращивание происходит вследствие перенасыщения раствора. В качестве растворителя используют легкоплавкий компонент наращиваемого соединения, что снижает температуру кристаллизации, повышает чистоту наращиваемого слоя и снижает концентрацию вакансий. Для получения эпитаксиальных слоев соединений AIIIBV используются только монокристаллические подложки.

На рис. 10.19 показано устройство, обеспечивающее получение гетеропереходов (Ge-Si, GaAs-GaP) толщиной менее 1 мкм.

При эпитаксии, управляемой током (электроэпитаксии) через выращиваемый граничный слой пропускают электрический ток, температура системы поддерживается постоянной. Таким образом, выращиваются слои InSb,  GaAs, InP, AlxGa1-xAs и гранатов.

Рис. 10.19 Схема жидкостной эпитаксии:

1 - электрическая печь; 2 - кварцевая труба; 3 - термопара;

4 - подложка; 5 - ограничитель;

6 - основной графитовый держатель; 7 - графитовый скользящий держатель раствора; 8 - толкатель

Совершенствование реакторов для жидкостной эпитаксии путем пропускания тока через границу раствор – подложка (рис. 10.20), что сопровождается пельтье - нагревом или пельтье - охлаждением в зависимости от направления тока. Кинетика роста пленки зависит от толщины слоя, образующегося на границе раствора (рис. 10.20).

 

Рис. 10.20 Электроэпитаксиалыгое наращивание:

а – конструкция ячейки для электроэпитаксиального наращивания GaAs из растворов Ga = As; б – зависимость скорости роста пленки GaAs из раствора Ga = As от изменения температуры на границе;

1 – электрический контакт, 2 – подложка, Ga = As (n = min),

3 – раствор GaAs; 4 – изолятор

(— эффект Пельтье с электромиграцией, ---- только электромиграция)

Твердофазная эпитаксия (ТФЭ). В основе ТФЭ лежат процессы твердофазного взаимодействия в многослойной тонкопленочной системе, происходящие при изотермическом отжиге. В процессе нарастания эпитаксиальных слоев могут принимать участие две или три твердые фазы, имеющие хороший контакт между собой. Одна из фаз — монокристаллическая подложка, другие могут быть аморфными, поликристаллическими или частично ориентированными. При эпитаксии из твердой фазы происходит процесс перекристаллизации одной из фаз и ее ориентированное наращивание на поверхности подложки в процессе изотермического отжига многослойной композиции.

Перед процессом ТФЭ на монокристаллических подложках кремния или германия с ориентацией (111) или (100) осаждают пленку металла с помощью электронно-лучевого испарения со скоростью 0,2 – 6 нм/с, и проводят процесс осаждения аморфного полупроводника со скоростью 1 – 10 нм/с. Давление в процессе осаждения составляет (0,67 – 1,33)10-4 Па. Затем без развакуумирования системы образцы подвергают изотермическому отжигу в вакууме 10-4 – 10-3 Па или в потоке сухих инертных газов (Ar, N2).

Молекулярно-лучевая эпитаксия (МЛЭ). Основана на взаимодействии нескольких молекулярных пучков с нагретой монокристаллической подложкой с осаждением на ней элементарных компонентов.

Схема установки для выращивания пленок методом МЛЭ приведена на рис. 10.21. Эпитаксиальный рост осуществляется в сверхвысоком вакууме посредством одновременной реакции многочисленных молекулярных пучков различной плотности и химического состава с нагретой подложкой, и характеризуется малой контролируемой скоростью протекания эпитаксии (1 мкм/ч или 1 атомслой/с), что позволяет модулировать молекулярный пучок с точностью до монослоя.

Низкая температура подложки (773 – 873 К) уменьшает количество термодинамических дефектов (вакансий) в эпитаксиальном слое с высшим структурным разрешением, превышающем традиционные методы на два порядка и ничтожно малой диффузией легирующего материала даже внутри моноатомного слоя. МЛЭ позволяет проводить контроль химического состава кристаллической структуры поверхности, распределения концентрации элементов по глубине непосредственно во время осаждения эпитаксиальных слоев. Возможность применения аналитических методов контроля в процессе роста позволяет управлять ими на атомарном уровне, получать структуры с низкой степенью загрязнения. В таблице 10.4 приведены методы измерений, осуществляемых в процессе МЛЭ.

Таблица 10.4

Измерения, осуществляемые в процессе МЛЭ

Вид измерения

Метод измерения

Определение химического состава поверхности и профиля концентраций различных элементов

Оже-электронная спектроскопия

Рентгеноэлектронная спектроскопия

Ионная Оже-спектроскопия

Масс-спектроскопия вторичных ионов

Определение кристаллической структуры поверхности

Дифракция электронов низких энергий

Дифракция электронов высоких энергий

Определение состава молекулярных пучков

Масс-спектроскопия

Определение температур источников и подложки

Контактные и бесконтактные методы

Определение интенсивности молекулярных пучков

Оптический метод

Определение толщины эпитаксиальных слоев

Эллипсометрия

Используется МЛЭ для получения тонких (0,5...50 нм) структур с контролируемыми поперечными размерами при изготовлении оптических микроволновых приборов на основе GaAs и AlxGa1-xAs.

Эпитаксия с использованием сканирования луча лазера. Используется для выращивания пленки монокристаллического кремния на монокристаллических кремниевых и сапфировых подложках. В технологии КНС монокристаллическую пленку кремния выращивают на сапфире методом МЛЭ, причем на границе раздела образуется аморфная пленка с большим числом дефектов. Ее кристаллические свойства восстанавливают лазерным отжигом. Характерной особенностью данного метода является то, что пленка монокристаллического кремния может быть выращена на аморфных пленках плавленого кварца, окиси кремния, нитридов. На рис. 10.22 представлена пленка кремния толщиной 0,5 мкм, выращенная на подложке из плавленого кварца. В результате лазерного отжига с применением аргонового лазера получена монокристаллическая пленка 1,51,5 мм, ориентированная в плоскости (100). Этот метод получил название графоэпитаксии.

10.3 Фотолитография

В технологии микроэлектронных устройств литографические процессы универсальны и наиболее часто повторяемы. Они используются для получения контактных и прецизионных свободных масок.

Литографические процессы формируют на поверхности слой стойкого к последующим технологическим воздействиям материала, способного под действием облучения определенной длины волны изменять необратимо свои свойства и прежде всего стойкость к проявителям. Резистивный слой, локально облученный с помощью шаблона, обрабатывают в проявителе, где в результате удаления локальных участков получают резистивную маску.

В зависимости от длины волны применяемого излучения различают оптическую (фотолитографию), рентгеновскую, электронную и ионную литографию.

Фотолитография (ФЛ) – это совокупность фотохимических процессов, в которых можно выделить три основных этапа: формирование на поверхности материала слоя фоторезиста; передача изображения с шаблона на этот слой; формирование конфигурации элементов устройств с помощью маски из фоторезиста.

Фотолитография может быть контактной (шаблон при переносе изображения приводится в плотный контакт с фоторезистом (ФР) и бесконтактной (на микрозазоре и проекционная ФЛ).

Негативные и позитивные ФР. Фоторезисты – сложные полимерные композиции. Фоторезисты, у которых растворимость экспонированного участка уменьшается, называются негативными (ФН), а ФР, растворимость которых после облучения возрастает, – позитивными (ФП). 

После обработки экспонированного ФР в составе, удаляющем растворимые участки, образуется рельефное изображение (рис. 10.23), которое должно быть устойчивым к воздействию технологических факторов.

Рис. 10.23 Образование рельефа при использовании ФШ и ФП

Основу образования рельефного изображения составляют:

  •  фотополимеризация и образование нерастворимых участков; наиболее типичными для системы, в которой используется этот процесс, являются ФН – эфиры коричной кислоты и поливинилового спирта, называемого сокращенно поливинилциниаматом  (ПВЦ);
  •  сшивание линейных полимеров радикалами, образующимися при фотолизе светочувствительных соединений. Использование каучуков с добавками светочувствительных веществ (бис-азиды и др.) дает возможность получить кислотостойкие ФН;
  •  фотолиз светочувствительных соединений с образованием растворимых веществ – большинство ФП, в которых фотолиз соединений, называемых нафтохинондиазидами (НХД), приводит к тому, что облученные участки становятся растворимыми в щелочных составах.

Рассмотрим ряд важнейших параметров ФР.

Светочувствительность — величина, обратная экспозиции, требуемой для перевода ФР в растворимое или нерастворимое (в зависимости от того, ФП или ФН) состояние.

Разрешающая способность — максимальное число линий одинаковой ширины, разделенных промежутками той же ширины, которое можно получить в ФР на 1 мм.

Стойкость к воздействию агрессивных факторов — понятие, как правило, нe поддающееся общим определениям; в частном случае может означать величину, пропорциональную времени отслаивания пленки ФР в используемом травителе или проникновения травителя сквозь поры пленки ФР к подложке (с или мин). В последнее время стойкость пленки ФР все чаще характеризуют плотностью дефектов (мм–2), передающихся при травлении на подложку. Для ФП, в частности, указывают важный параметр: устойчивость к воздействию стандартного травителя (мин). Она должна быть по крайней мере на порядок выше времени проявления.

Стабильность эксплуатационных свойств ФР во времени выражается сроком службы при определенных условиях хранения и использования. Обеспечение этого параметра — одна из важнейших проблем.

К основным зависимостям, описывающим поведение ФР, относятся спектры поглощения (рис. 10.24, рис. 10.25) и характеристические кривые (рис. 10.26). Спектры поглощения определяют тип источников экспонирования, помогают выбрать материалы для экранирования пластин с ФР от засвечивания.

       

При экспонировании слоя резиста вид спектра поглощения меняется. Эти изменения отражают процессы разрушения светочувствительных молекул или сшивания полимерных цепей.

Полезным «выходом» реакции поглощения является изменение толщины слоя ФР после проявления. Для оценки этого процесса снимают характеристические кривые (рис. 10.26). Кривая 1 показывает, как увеличивается толщина ФН на экспонированных участках по мере роста экспозиции. Для ФП можно снять аналогичную характеристическую кривую 2 на рис. 10.26. Более полную информацию о поведении ФП дает зависимость скорости проявления от экспозиции, которую также называют характеристической. При работе с ФП важно, чтобы слой находился в щелочном проявителе минимальное время (при этом число дефектов минимально), т.е. следует определить экспозицию, обеспечивающую максимальную скорость проявления.

При экспонировании ФН часто возникает по краю рисунка характерный ореол, вызванный отражением света от подложки. В проявителе пленка ФН разбухает, что приводит к искажению размеров. Процесс растворения незадуб-ленной части слоя ФН подчиняется диффузионным ограничениям; по этой причине трудно проявить элементы малых размеров. По выборе типа ФР большое значение имеют не только разрешающая способность, но и кислого- или щелочестойкость. Позитивные ФР не выдерживают щелочных травителей, хотя известны попытки создать щелочестойкий резист на основе О-нафтохинондиа-зидов путем добавления эпоксидных групп. Негативные ФР устойчивы к воздействию кислот и щелочей, особенно ФН на основе каучуков.

Отечественной промышленностью серийно выпускаются позитивные (ФП-383, ФП-РН-7 и др.) и негативные (ФН-5ТК, ФН-11, ФН-11К, ФН-4ТВ и др.) фоторезисты, а также светочувствительные продукты, являющиеся основой ФП, такие как № 30, 27,11 (продукты № 83 и 7, входящие в резисты
ФП-383 и ФП-РН-7, отдельно не выпускаются). Эти продукты представляют собой сложные эфиры НХД и какой-либо фенолформальдегидной смолы, например новолака. В молекулах этих полимеров водород может быть замещен галоидом – бромом, хлором, йодом. Введение подобных функциональных групп позволяет изменять свойства резистов: способность к растворению, адгезию к определенным  подложкам, кислотостойкость.

Подготовка поверхности подложки. Одним из основных факторов, определяющих качество фотолитографического процесса, является очистка поверхности подложки для получения хорошей адгезии ФР к поверхности подложки. Она зависит от его химического состава и строения, состояния поверхности подложки и режимов формирования пленки. На процесс формирования адгезионного соединения существенное влияние оказывает влажность окружающей среды.

Относительная влажность окружающей среды не является постоянным фактором, воздействующим на поверхность подложки. Большинство процессов ФЛ проводится на поверхности, покрытой оксидами. Структурная вода может входить в состав оксида в виде гидроксильных групп ОН и присутствовать на поверхности оксида в виде молекул. Для удаления влаги перед нанесением ФР поверхность подложки подвергается отжигу. При 400 – 500 К удаляется вода, слабо связанная с поверхностью, а при 750 – 800 К удаляется часть гидроксильных групп. Для удаления влаги с поверхности подложки применяют также гексаметилдисилоксан (ГМДС) (CH3)3SiNHSi(CH3)3, который хорошо гидролизуется влагой воздуха.

Подложки с диоксидом кремния на поверхности обрабатываются различными способами: групповой обработкой (погружение в тефлоновой кассете с перемешиванием; погружение и обработка в парах ГМДС при нагреве с последующим центрифугированием с частотой 3000 – 6000 мин–1); индивидуальной обработкой погружением; обработкой погружением с последующим центрифугированием. После извлечения из ГМДС подложки обдуваются азотом (хранение подложек составляет 15 ч).

Подготовка поверхности подложек перед нанесением ФР включает: гидромеханическую обработку щеткой в течение 10 с при частоте вращения щетки 140 мин–1, частоте вращения центрифуги 500 мин–1 и расходе деионизованной воды 0,1 л/мин; сушку азотом в течение 15 с при частоте вращения центрифуги 5000 мин–1; обработку парами ГМДС 5 – 10 с при частоте вращения центрифуги 200 – 500 мин–1 и расходе паров ГМДС 80 л/ч.

Нанесение слоя ФР на подложку. Чаще всего этот процесс осуществляется центрифугированием (рис. 10.27, а). При включении центрифуги жидкий ФР растекается под действием центробежных сил. Прилегающий к подложке граничный слой формируется в результате уравновешивания центробежной силы, пропорциональной числу оборотов, и силы сопротивления, зависящей от когезии молекул резиста.

Рис. 10.27 Способы нанесения ФР:

а – центрифугирование; б – распыление; в – электростатическое нанесение;

г – окунание; д – нанесение волнами:

1 – дозатор для подачи ФР; 2, 8, 12, 14, 17 – подложки; 3 – столик центрифуги; 4 – привод; 5 – тахометр; 6 – двигатель; 7 – нагреваемая планштайба;

9 – форсунка подачи резиста; 10 – форсунка; 11 — кольцо для- зарядки ФР;

13 – заземленный пьедестал; 15 – фильтрованный сжатый воздух; 16 – емкость для сбора ФР; 17 – ведущий ролик; 19 – подача ФР; 20 – ролик нанесения

Метод центрифугирования позволяет формировать резистивный слой на подложке диаметром до 350 мм с отклонением в центральной ее части 5 нм от общей толщины 860 нм. Формирование слоя происходит в течение 20 – 30 с. При подаче ФР из дозатора (капельницы) на неподвижную подложку время между нанесением жидкого резиста и включением центрифуги должно быть минимальным (0,5 – 1 с), чтобы вязкость ФР не менялась в результате испарения растворителей. Толщина слоя и его качество определяются типом ФР и его вязкостью, максимальной частотой вращения, ускорением и замедлением центрифуги, температурой и влажностью окружающей среды, свойствами поверхности подложки. Ускорение центрифуги влияет на равномерность толщины формируемых резистивных слоев. Время достижения заданной частоты вращения центрифуги изменяется в пределах 0,1 – 3,6 с. При более высоких ускорениях слой ФР получается более тонким и равномерным по всей поверхности подложки. Утолщение слоя к краю подложки (валик) уменьшается при более высоких частотах вращения. Длительное центрифугирование приводит к неравномерности толщины слоя. Это связано с различной вязкостью ФР в разные моменты времени, что вызвано неодинаковыми условиями формирования слоя, поскольку скорость растекания резиста растет в квадрате по отношению к радиусу при удалении от центра пластины.

Начальная стадия центрифугирования, включающая время, в течение которого происходит изменение скорости, оказывает влияние на формирование слоя ФР.

Процесс формирования происходит в три этапа:

1. Растекание резиста. Доза резиста, подаваемая в центр пластины при вращении, растекается по ее поверхности неравномерно. Причина — большое начальное ускорение на первых двух оборотах центрифуги, в результате чего из-за влияния сил вязкости образуется пограничный слой. Область ФР, на которую мало влияет его вязкость, в начальный момент вращения пластины под действием центробежных сил смещается от центра, а в дальнейшем ее площадь и смещение увеличиваются. На втором-третьем оборотах поверхность пластины полностью покрывается ФР. При этом с одной стороны поверхности пластины (еще при незаконченном процессе растекания) начинается сбрасывание излишков резиста.

  1.  Сбрасывание излишков ФР. Поскольку доза ФР смещается до поверхности пластины относительно ее центра, процесс сбрасывания ФР начинается на краю пластины с небольшой дуги, которая постепенно увеличивается, но не замыкается в окружность. Это свидетельствует о неодинаково напряженном состоянии резистивного слоя на поверхности пластины и требует дальнейшего изучения процесса его формирования с целью определения оптимального способа предварительного покрытия поверхности пластины ФР перед центрифугированием. Сбрасывание излишков ФР следует регулировать ускорением и частотой вращения. Этот процесс заканчивается на третьем — шестом оборотах центрифуги.
  2.  Формирование профиля слоя ФР. Полученный профиль следует сохранять в течение операции нанесения ФР, не допуская появления «лучевого разбега» и образования краевого валика.

Медленный разгон или даже двухступенчатое (сначала медленное, затем быстрое) изменение скорости частоты вращения центрифуги позволяет получать более качественные слои ФР.

Нанесение ФР распылением (рис. 10.27, б) позволяет получать широкий интервал толщины слоев, причем подложка может иметь неплоскую поверхность. Фоторезист наносится из пневматического распылителя. Параметры слоя зависят от давления и температуры воздуха, расстояния от сопла до подложки, вязкости резиста и концентрации сухого продукта, типа растворителя. Расход ФР при распылении можно уменьшить примерно в 10 раз, а дефектность слоя (вследствие отсутствия напряжений) в 3 – 4 раза по сравнению с пленками, получаемыми центрифугированием. Отсутствие краевого утолщения делает метод распыления особенно эффективным при нанесении фотослоя на прямоугольные подложки.

При электростатическом нанесении (рис. 10.27, в) ФР диспергируется с помощью форсунки либо само электрическое поле дробит жидкость на мелкие капли диаметром примерно 10 мкм. Заряженные капли ускоряются полем и осаждаются на подложку.

Для нанесения ФР используется полив или окунание (рис. 10.27, г), а также валиковый способ. Установка конвейерного типа (рис. 10.27, д) обеспечивает равномерность толщины слоя ±5%.

Нанесение ФР окунанием — наиболее простой способ нанесения покрытия, когда обрабатываемую подложку погружают в ФР и выводят из него с регулируемой скоростью. Качество пленок на этой стадии определяется типом установки, режимом нанесения, свойствами ФР (составом светочувствительного компонента, растворителя, вязкостью, плотностью жидкости и т.д.), параметрами подложек (размером, свойствами поверхности).

Сушка. Окончательному формированию слоя ФР, при котором происходит удаление растворителя, способствует сушка. При этом в пленке ФР происходят сложные релаксационные процессы, уплотняющие молекулярную структуру слоя, уменьшающие внутренние напряжения и повышающие адгезию слоя к подложке. Неполное удаление растворителя из слоя снижает его кислотостойкость: при экспонировании молекулы растворителя экранируют нижележащий слой и после проявления, например, позитивного ФР возникают дефекты в виде нерастворенных микрообластей. Правильно организованная сушка должна обеспечивать непрерывную диффузию растворителя к поверхности слоя и его испарение с поверхности. Уплотнение структуры должно происходить в направлении от пластины к поверхности слоя, полностью вытесняя растворитель из ФР. Градиент температуры должен быть направлен от поверхности слоя к пластине.

Зависимости времени экспонирования и точности передачи размера элемента после проявления от температуры сушки пластины приведены на рис. 10.28. При проведении сушки опасны перепады температур и слишком быстрый нагрев. Максимальную температуру сушки выбирают для конкретного типа ФР, исходя из констант термолиза светочувствительных молекул; при превышении этой температуры изображение не проявляется или для его проявления требуется большее время, в результате чего растет плотность дефектов и падает точность передачи размеров элементов.

При конвективной сушке, осуществляемой в термостатах при невысоких температурах (363–373 К), на поверхности ФР преждевременно образуется сухой слой. Дальнейшее удаление растворителя становится возможным лишь в результате разрыва этого слоя, что увеличивает плотность дефектов. Образование поверхностного сухого слоя можно затормозить, если приготовить ФР на основе смеси растворителей с различной летучестью. В зависимости от состава и толщины фотослоя при конвективной сушке требуется выдержка в течение
10 – 60 мин.

При инфракрасной сушке источником теплоты является полупроводниковая пластина, поглощающая ИК-излучение, тогда как окружающая среда (очищенный и осушенный инертный газ или воздух) сохраняет примерно комнатную температуру благодаря непрерывной продувке. Так как «фронт сушки» перемещается от пластины к поверхности слоя, качество сушки существенно повышается, а время сокращается до 5 – 15 мин.

При СВЧ- сушке нагрев пластин осуществляется в процессе поглощения электромагнитной энергии СВЧ-поля. Мощность печей 200 – 400 Вт, рабочая частота 2,45 ГГц, время сушки — несколько секунд.

При любом методе сушки режим ее (температура и время выдержки) должны исключать преждевременные структурные превращения (например, полимеризацию ФН). Обычно допустимая температура сушки не превышает 393 К. Высушенный фотослой необходимо экспонировать не позднее чем через 10 ч.

Совмещение. Начиная со второй ФЛ необходимо совмещать рисунок ФШ с рисунком на подложке. В настоящее время используются визуальный и автоматизированный фотоэлектрический способы совмещения.

При визуальном методе точность совмещения определяется принципом работы и качеством выполнения микроманипуляторов, размером и контрастностью знаков совмещения, формой знаков, а также постоянством этих параметров в процессе технологических обработок подложки. Оптимальными могут считаться знаки, образующие при совмещении штрих, вписанный между двумя другими штрихами. Ширина штрихов может равняться 3 мкм, длина в 10 раз превышать ширину, контрастность 0,3 – 0,4. Зазоры между совмещенными штрихами должны составлять 4 – 7'. Манипуляторы обеспечивают точность перемещения ±0,1 мкм, но реальная точность визуального совмещения составляет обычно ± 1 мкм.

Для работы таких установок требуются специальные опорные знаки: на ФШ непрозрачные штрихи, на подложке вытравленные канавки, ширина которых в 2 – 4 раза больше, чем штриха.

Автоматизированный фотоэлектрический способ совмещения более объективен в отличие от визуального, определяемого индивидуальными особенностями оператора и ручным перемещением подложки. Предварительно с помощью оптического микроскопа проводят грубое, а затем с помощью фотоэлектрического микроскопа точное совмещение. Точность совмещения равна ±0,5 мкм. Одна из основных трудностей обеспечения точного совмещения — создание механизмов плавных перемещений подложек на расстоянии менее 1 мкм.

Экспонирование и проявление. Эти процессы неразрывно связаны между собой. Выбор режима экспонирования и проявления осуществляется в следующей последовательности. С грубым приближением находят время экспонирования и проявления, при котором получается удовлетворительное качество рельефа. При работе с ФР проверяют плотность проколов в слое резиста данной толщины, для чего на пластину окисленного кремния с известной плотностью дефектов в оксиде наносят слой ФР, высушивают его и проявляют в течение времени, примерно вдвое большего, чем найденное вначале время проявления. Затем проводят вторую сушку, травление и определяют, насколько увеличилась плотность дефектов в оксиде из-за проникновения травителя сквозь проколы в слое резиста. При этом предполагается, что рост плотности дефектов вызван только процессом проявления. Для сравнения проверяют плотность дефектов на непроявленном слое. Если при максимальном времени проявления плотность дефектов слишком велика, следует увеличить толщину слоя или сменить ФР и снова повторить описанные выше процедуры.

Затем устанавливают зависимости точности передачи размеров изображения от времени проявления при фиксированном времени экспонирования и от времени экспонирования при фиксированном времени проявления; в результате находят оптимальные времена, соответствующие точности передачи, близкой к единице. Подбирая время экспонирования, тщательно стабилизируют остальные факторы, влияющие на точность передачи размеров изображения: колебания освещенности, неизбежный зазор между фотошаблоном (ФШ) и резистом, повышение температуры слоя, иногда возникающее при экспонировании.

Термообработка (вторая сушка). Сушка проявленного слоя осуществляется для восстановления набухшего рисунка и придания устойчивости фоторезистивной маске к последующим воздействиям. Чтобы края ФР не оплывали, термообработку, особенно для толстых слоев, лучше проводить при плавном или ступенчатом нагреве.

Удаление резистивной маски маскирующей пленки ФР. Для удаления ФР используют: деструкцию полимера (например, сульфированием в серной кислоте); обработку в органических растворителях; плазмохимическую, термическую или фототермическую обработку, сводящуюся в основном к окислительной деструкции в кислороде или кислородсодержащих газах.

Химическая деструкция ФП в серной кислоте. Приводит к образованию коротких цепей полимера (наволака) и сульфированных мономеров НХД групп. После обработки в серной кислоте проводится отмывка водой. Эффективное сульфирование идет в нагретой до 433 К концентрированной кислоте либо ее смеси с двухромовокислым калием (хромпиком) или с перекисью водорода. Смесь серной кислоты с 30%-ной перекисью водорода (3 : 1) обеспечивает при более низкой температуре (343 – 373 К) хорошую очистку поверхности.

Удаление ФР химической деструкцией серной кислоты не применимо для металлизированных подложек, кроме того, нагрев серной кислоты свыше 433 К приводит к образованию пленки сернистого кремния, влияющей на процессы окисления и диффузии.

Органические растворители. Наиболее часто используемыми растворителями являются:

  •  диметилформамид (CH3)2NCOH) – бесцветная подвижная жидкость со слабым специфическим запахом; температура плавления 334 К; температура кипения 426 К при давлении 1030 Па; смешивается с водой, спиртом, ацетоном, эфиром, галоидосодержащими и ароматическими соединениями;
  •  дибутилфталат (С6Н4СООС4Н9)2 – бесцветная жидкость с тонким фруктовым запахом; температура плавления 308 К, температура кипения 603 – 613 К;
  •  четыреххлористый углерод СС14 – бесцветная негорючая жидкость со сладковатым запахом; температура кипения 349,75 К; плотность 1,593 г/см3;
  •  трихлорэтилен С2С13Н – бесцветная жидкость с запахом, напоминающим запах хлороформа; температура кипения 360,19 К; хорошо растворим в органических растворителях, плохо в воде. Один из наименее токсичных хлорсодержащих растворителей. Предельно допустимая концентрация паров в воздухе 0,05 мг/л. При длительном хранении на свету постепенно окисляется кислородом воздуха до СОС12;
  •  моностаноламин H2NCH2CH2OH – вязкая гигроскопическая жидкость, смешивается с водой и спиртами, хорошо растворима в СНС13, плохо в углеродах и эфире; температура кипения 444,1 К при давлении   105 Па;
  •  метилэтилкетон СНзСОСН2СН3 – бесцветная жидкость, по запаху напоминает ацетон; температура кипения 352,57 К. Взрывоопасная концентрация паров в воздухе 1,97 – 10,2%; с органическими растворителями смешиваются во всех отношениях; растворимость при 293 К в воде 26,8%;
  •  диоксан C4H8O2 – бесцветная прозрачная жидкость со слабым приятным запахом; ядовита, легко воспламеняется, с водой и обычными органическими растворителями смешивается во всех отношениях; плотность при 293 К
    1,03 г/см
    3; температура затвердевания 283,5 К; температуры кипения 374 К, вспышки 284 К, самовоспламенения паров в воздухе 313 К; температурные взрываемости насыщенных паров в воздухе (нижний 277, верхний 331 К);
  •  толуол С7Н8 (молекулярная масса 92,140) - бесцветная жидкость с характерным запахом, не растворимая в воде, но растворимая в ацетоне и смешивающаяся в любых отношениях со спиртом и эфиром; с воздухом толуол образует взрывоопасные смеси; оказывает раздражающее действие на нервную систему; температурный диапазон перегонки при давлении 1030 ГПа – 382 – 384 К; плотность при 293 К – 0,866 г/см3; показатель преломления света 1,495; нелетучий осадок не превышает 0,001%, а содержание влаги 0,03%;
  •  хлорбензол C6H5Cl – бесцветная прозрачная жидкость с характерным запахом, смешивающаяся во всех отношениях со спиртом, этиловым эфиром и не растворимая в воде; плотность при 293 К – 1,1 г/см3; показатель преломления света 1,52; температурный предел, при котором перегоняется 95% при давлении 105 Па,  403 – 433 К;
  •  изопропиловый спирт С3Н8О – бесцветная прозрачная жидкость, смешивающаяся с водой во всех отношениях; температура кипения 353 – 355,5 К;
  •  М-ксилол С3Н10 – бесцветная прозрачная жидкость с характерным бензиновым запахом, хорошо растворяющая смолы, каучук, масло и жиры; растворяется в спирте и эфире; горюча.

Выбор растворителя для удаления пленки ФР с поверхности пластин можно осуществить только после количественной оценки растворимости этой пленки в растворителе.

Позитивные ФР различаются составом, но в качестве основы большинство их имеет фенолформальдегидные смолы, параметры растворимости которых представлены в табл. 10.5.

Таблица 10.5

Параметры растворимости полимеров и растворителей

Вещество

Параметры растворимости, МДж/м3

общ

d

p

h

Фенолформальдегидная смола:

новолачная

23,1

18,4

8,2

11,2

резольная

26,7

19,2

10,8

15,1

Диметилформамид

24,77

17,38

13,7

5,9

Этилцеллозольв

24,07

16,01

9,2

14,3

Примечание. общ – общий параметр растворимости; dпараметр растворимости, обусловленный дисперсионным взаимодействием; p - параметр растворимости, обусловленный полярным взаимодействием;h - параметр растворимости, обусловленный взаимодействием водородных связей.

В производстве изделий электронной техники для удаления ФР часто используют диметилформамид, по некоторым показателям сходный с фенолфор-мальдегидными смолами. Позитивные ФР лучше растворяются в этилцеллозольве, чем в диметил» формамиде. При обработке в диметилформамиде на поверхности пластин обнаруживаются остатки ФР, которые приходится удалять ватным тампоном. Удаление пленки ФР с поверхности пластин в этилцеллозольве идет при температурах более низких, чем в диметилформамиде, а ФН-РН-7 полностью удаляется и в холодном этилцеллозольве. При повышении температуры растворителя время удаления пленки ФР с поверхности пластин уменьшается. После удаления ФР пластины отмываются в деионизованной воде, нагретой до 323 К. в течение 10 мин, затем в деионизованной воде без подогрева 10 мин.

Удаление ФР после травления слоя металлизации и пассивирующего слоя. Для определения скорости разрушения металлизации в результате взаимодействия металлизации с контактирующей средой при удалении ФР, влияния особенностей процесса на скорость разрушения используют тестовую структуру, состоящую из алюминиевого анода и кремниевого катода, разделенных оксидом кремния. Алюминиевый анод представляет собой гребенку металлизации, кремниевый катод — область не защищенной оксидом кремниевой пластины в форме гребенки, зубья которой расположены между зубьями гребенки алюминиевого анода.

Удаление ФР осуществляется либо в растворителе на основе диметил-формамида, либо на установках плазмохимического удаления ФР. Добавление к органическим растворителям органических оснований (этаноламинов) улучшает качество процесса. Удаление ФР проводится в органических смесях следующих составов: моноэтаноламин : перекись водорода = 7 : 1, этилендиамин : триэтаноламин — диметилформамид = 3 : 12 : 60, моноэтаноламин : диметил-формамид : вода = 5 : 15 : 1.

Плазмохимический метод удаления ФР. В основе метода лежит обработка в низкотемпературной кислородной плазме при давлении 5102 Па. В плазме образуются активные частицы: атомарный кислород, озон и возбужденные молекулы кислорода. Содержание атомарного кислорода, например, может достигать 10 – 20%, столько же содержится возбужденного молекулярного кислорода. Под действием активного кислорода ФР разлагается. Введение 1% азота позволяет увеличить скорость удаления ФР на 20%, а 1% водорода на 100% по сравнению со скоростью удаления в чистой кислородной плазме.

Схема установки для плазмохимического удаления ФР показана на рис. 10.29. От ВЧ-генератора возникает разряд между электродами. Генераторы работают на частоте 13,56 МГц при выходной мощности на электродах 300 – 1200 Вт. Кислород поступает в реакционно-разрядную камеру (расход газа 120 – 1000 см3/мин) и непрерывно откачивается вакуумным насосом для поддержания давления на уровне 1,3103 Па.

Рис. 10.29 Схематическое изображение установки

плазмохимического удаления фоторезиста:

1 – разрядная камера; 2 – индуктор; 3 – обкладки конденсатора;

4 – натекатель; 5 – редуктор; 6 – источник газа; 7 – ротаметр; 8 – клапан;

9 – крышка; 10 – вакуумный датчик; 11 – вакуум-привод;

12 – выпускной клапан

Качество процесса ФЛ. В технологическом процессе изготовления микросхем ФЛ составляет 50% всей трудоемкости производства. Практически после каждого блока операций (окисления, диффузии, эпитаксии, напыления) производится ФЛ.

Наиболее существенными параметрами, несущими информацию о качестве процесса ФЛ (около 54 параметров), являются: внешний вид фото-резистивной маски после проявления, уход размера от заданного и число дефектных модулей.

Цепочка операций ФЛ и параметры, влияющие на процесс, представлены на рис. 10.30. Оценку качества проведения процесса ФЛ, а также его регулирования можно осуществить по обобщающим параметрам: толщине нанесенной фоторезистивной маски; числу регенераций фоторезистивного рисунка (с учетом анализа причин); уходу линейных размеров определенных фигур, вытравленных на пластине; числе модулей, имеющих локальные дефекты.

По этим основным параметрам можно произвести оценку стабильности работы участка в целом:

где  –  коэффициент стабильности работы участка;

число отклонений по толщине ФР при нанесении;

р – число регенераций;

число отклонений по линейным размерам после травления;

– число дефектных модулей при контроле по внешнему виду.

Коэффициент  участка ФЛ связан с процентом выхода годных микросхем при контроле пластин на функционирование.

Рис. 10.30 Технологический процесс ФЛ:

1 - температура; 2 - время; 3 - химический состав среды, раствора;

4 - количество пылинок в 1 м3; 5 - вязкость; 6 - число оборотов; 7 - масса подаваемого вещества, г; 8 - интегральная освещенность; 9 - равномерность освещенности; 10 - зазор; 11 - освещаемость; 12 - адгезия; 13 - размер объекта; 14 - число локальных дефектов; 15 - число регенераций, причины; 16 - представительство от партии, однородность партии

Контрольной операцией фотолитографического процесса является проверка качества выполнения рисунка по фоторезистивной маске. Если геометрия элементов не соответствует требованиям технологической документации, то пластины возвращаются на регенерацию, т.е. происходит восстановление по определенному маршруту. Контроль качества фоторезистивной маски позволяет пропускать на последующие операции только ту продукцию, на которой с определенной достоверностью можно провести качественное травление диэлектрика или металла, т.е. провести необратимые процессы.

После формирования рисунка на ФР производится травление пластин и снятие ФР, чем практически заканчивается процесс ФЛ. Затем выполняется измерение размеров определенных вытравленных фигур по пластине — «измерение критичных размеров». Если по результатам контроля предыдущих параметров известно, что процесс проходил без отклонений, то измерение критичных размеров практически определяет только качество локального травления диэлектрика или металла на пластине. Брак по этому параметру, как правило, является окончательным и пластины не могут быть восстановлены. С другой стороны, этот параметр несет информацию о качестве прохождения всего процесса ФЛ, и его статистическая оперативная обработка позволяет также воздействовать на собственно процесс ФЛ. Так, при условии нормального формирования фоторезистивного рисунка на одном и том же изделии и одном и том же слое ФР получение, например, уменьшенных критичных размеров указывает на то, что либо, есть отклонения во второй термической обработке ФР, либо неправильно воспроизведены размеры на ФШ. Дополнительный контроль этих параметров позволяет выявить и локализовать причину брака.

Другим важным параметром, определяющим качество проведения процесса ФЛ, является определение числа локальных дефектов в модуле на пластине. Достоверность визуального контроля целиком зависит от опыта оператора.

Наличие локальных дефектов на пластине может быть вызвано различными причинами: качеством формирования фоторезистивного рисунка, травлением пластин, несоблюдением вакуумной гигиены и культуры производства на участке. Распределение по видам дефектов, статистическая обработка по количеству забракованных модулей могут выявить отклонения не только в технологическом процессе самой ФЛ, но и в комплектующих изделиях (качество ФР, ФШ и т.п.), а также в предыдущих процессах (качество окисления, эпитаксии, напыления и даже межоперационного хранения). Локальные нарушения с определенной конфигурацией указывают на повышенную запыленность на участке или длительное и неправильное хранение пластин до ФЛ и т.п.

10.4 Электронно-лучевая литография

Метод электронолитографии характеризуется высокой разрешающей способностью. Эффекты дифракции, ограничивающие разрешающую способность в оптическом и субоптическом диапазонах и связанные с большой длиной волны экспонирующего излучения (λ = 350  400 нм), в электронно-лучевой литографии (ЭЛЛ) пренебрежимо малы. Практические возможности ЭЛЛ оцениваются размерами элементов микросхем 0,20,3 мкм. Другим преимуществом ЭЛЛ является большая глубина резкости передаваемого изображения. Поэтому практически не происходит искажения рисунка микросхемы при увеличении глубины рельефа многослойных структур и неплоскостности поверхности пластины. Применение ЭВМ для непосредственного управления электронным лучом (ЭЛ) позволяет легко перестраивать и корректировать программу экспонирования.

Существуют три основные области применения ЭЛЛ:

  •  в технологии производства эталонных ФШ и промежуточных фотооригиналов (ПФО) с размерами элементов более 2 мкм;
  •  при изготовлении БИС с размерами элементов менее 1 мкм путем непосредственной микрогравировки кремния;
  •  в производстве высокоточных ФШ для рентгено- и фотолитографии глубокого ультрафиолета.

Процесс ЭЛЛ показан на рис. 10.31. В основе метода лежит воздействие управляющего остросфокусированного ЭЛ на резист. Электроны локально либо разрушают его, либо сшивают молекулы, изменяя его свойства.

Рис. 10.31 Последовательность операций ЭЛЛ:

а экспонирование; б – варианты использования маски из резиста для создания рисунков и ионно-легировавных облаетей

В процессе проявления селективно удаляется экспонированная (позитивный резист) или неэкспонированная (негативный резист) часть. Сформированный из резиста рельеф (топологический рисунок) и является маской для различных технологических воздействий. Окна в пленке резиста могут быть использованы для травления подложки ионным пучком или в плазме, а также для селективного ионного внедрения примеси в подложку. Процесс создания металлических линий с помощью позитивного или негативного резиста более детально показан на рис. 10.32.

Рис. 10.32 Электронно-лучевое экспонирование позитивного и

негативного резистов для формирования линий металлизации

Рис. 10.33 Структурная схема электронно-лучевой установки

Структурная схема электронно-лучевой установки (ЭЛУ) представлена на рис. 10.33. В ее состав входят четыре основные подсистемы:

  •  средства ввода данных — обычно накопитель на магнитном диске или ленте, а также алфавитно-цифровое печатающее устройство и ЭВМ, управляющая генератором топологического рисунка и другими основными блоками установки;
  •  блоки, передающие команды ЭВМ электронному лучу и контролирующие процесс формирования топологии;
  •  электронно-оптическая колонна;
  •  вспомогательные системы электронно-оптической колонны, включающие вакуумные насосы, источники питания и т. д.

Методы электронно-лучевого экспонирования. Для получения рисунков методом ЭЛЛ применяются два способа:

  •  ЭЛ, управляемый ЭВМ, перемещается заданным образом по поверхности подложки;
  •  электронный пучок проходит через маски.

Электронный пучок может быть сформирован в очень тонкий луч, который модулируется и перемещается по поверхности нанесенного на подложку резиста для получения необходимого рисунка. Электронный луч может быть сфокусирован в точку субмикронного размера при таких больших токах, при которых время экспозиции составляет менее 10–7с. Поскольку для получения рисунков на подложке с кристаллом 0,50,5 см2 и размерами элементов 0,25 мкм2 требуется 1010 позиций луча, то повышение скорости экспозиции является весьма важной задачей.

Методы репродуцирования с высокой разрешающей способностью приведены на рис. 10.34. Они основаны на бесконтактном репродуцировании рисунка ФП с помощью электронного (рис. 10.34, а, б) или рентгеновского (рис. 10.34, в) луча. Среди этих проекционных методов рентгенолитография обеспечивает наиболее высокую разрешающую способность. Методом электронной проекции с фотокатодом получены линии шириной 0,5 мкм на поле 75 мм и методом рентгено-литографии линии шириной 0,1 мкм. Целесообразно использовать шаблоны, полученные с помощью ЭЛЛ и в проекционной ФЛ с зеркальной системой (рис. 10.34, г) в сочетании с УФ излучением, чтобы свести к минимуму эффекты дифракции.

Алгоритмы формирования топологии.

Формирование топологического рисунка в слое резиста осуществляют:

  •  векторным сканированием, при котором сначала лучом рисуют требуемую фигуру, затем скачком перемещают его в другое поле сканирования и приступают к формированию следующей фигуры (рис. 10.35, а);
  •  растровым сканированием, при котором сканирование проходит по всей площади наподобие телевизионного растра, однако воздействие осуществляется только на тех участках, которые должны быть экспонированы (рис. 10.35, б);
  •  экспонированием всего топологического элемента сразу лучом с переменным сечением.

Рис. 10.34 Методы репродуцирования с помощью электронного (а, б), рентгеновского излучения (в) и

проекционной ФЛ с зеркальной системой (г):

1 – фотокатод с маской; 2 – фокусирующие отклоняющие катушки; 3 – кремниевая пластина; 4 – проекционная линза; 5 – конденсаторные линзы; 6 – электронная пушка; 7 – шаблон; 8 – подложка; 9 – резист; 10 – маска из золота;

11 – основное зеркало; 12  – вспомогательное зеркало

Рис. 10.35 Экспонирование топологического рисунка методом векторного (а) и растрового (б) сканирования

Рис. 10.36 Варианты использования векторного сканирования:

а – растровое сканирование; б – растровое сканирование с обходом по контуру, в – спиральное сканирование; г – ВЧ-сканирование лучом с заданным сечением; д – сканирование с обходом по контуру лучом с изменяемым сечением;

е – спиральное сканирование лучом изменяемого сечения

При формировании топологических фигур используют несколько вариантов метода векторного сканирования (рис. 10.36). Луч может сначала обойти контур фигуры, a затем двигаться по спирали к центру (рис. 10.36, в) или, начав с контура, описывать петли, заполняя внутреннюю часть фигуры (рис. 10.36, б); может и не обходить контур (рис. 10.36, а). Преимуществом вариантов с обходом контура является возможность экспонирования внутренней части лучом большего сечения, если, конечно, установка позволяет быстро изменять размер сечения, не внося искажений в топологический рисунок (рис. 10.36, д,е).

Максимальная разрешающая способность последовательной ЭЛЛ определяется минимальной шириной линии экспонирования. Она зависит от диаметра электронного пучка d и размера области обратного рассеяния, т.е. области резиста, в которую проникают электроны, отразившиеся от рабочего материала. При обработке слоев резиста толщиной 0,3 – 0,5 мкм электронным пучком диаметром d=0,05 мкм получены линии экспонирования шириной 200 – 260 нм, что в 5 раз превышает размер диаметра пучка. Следовательно, если в установке для последовательной ЭЛЛ диаметр пучка равен ds, то минимальный размер создаваемой микроэлектронной структуры не может быть меньше 5ds.

Ширина линий экспонирования зависит от толщины резиста. Чем тоньше слой резиста, тем меньшую ширину линии можно получить. Следует учитывать, что слои резиста толщиной меньше 0,2 мкм не обладают достаточными защитными свойствами при травлении.

Теоретически электронный пучок может быть сфокусирован до размеров порядка единиц нанометров. Диаметр электронного пучка исходя из дифракционного предела определяется выражением:

,

где f — фокусное расстояние электронной линзы;

D — диафрагма электронной линзы;

U — ускоряющее напряжение в вольтах.

При последовательной ЭЛЛ на резисте получены линии шириной 0,1 мкм.

Для субмикронной проекционной ЭЛЛ создают шаблоны на тонких мембранах, которые прозрачны для электронов и служат основой для нанесения на нее маски. Материал мембраны должен обеспечивать прохождение электронов с минимальным рассеянием, обладать необходимой механической прочностью, технологическими и физическими характеристиками, позволяющими создавать на поверхности мембраны доброкачественное масочное покрытие. В качестве мембран для масок используются в основном кремний и его соединения, а в качестве маскирующих покрытий - золото, платина и некоторые другие металлы.

10.5 Рентгеновская литография

Основу рентгеновской литографии (РЛ) составляют принципы контактной или бесконтактной печати при использовании для экспонирования низкоэнергетического (1 – 10 кэВ) рентгеновского излучения. В этом случае можно пренебречь явлением дифракции, свойственным фотолитографии, а также явлением обратного рассеяния, возникающим при ЭЛЛ. Принцип РЛ иллюстрируется рис. 10.37. Система РЛ состоит из собственно рентгеновской установки для экспонирования; системы совмещения шаблона с подложкой; прецизионного шаблона. Лучи проходят через шаблон, задерживаются на одних участках и проходят через другие, проецируя изображение шаблона на резисте. Чувствительность рентгенорезистов приведена в табл. 10.6.

Маски для рентгенолитографии. Основная цель при создании масок для РЛ заключается в изготовлении тонкой подложки, прозрачной для рентгеновского излучения. Из-за высокого коэффициента абсорбции всех твердых материалов маски должны выполняться на тонких подложках (1 - 12 мкм). С одной стороны, маска должна хорошо пропускать рентгеновское излучение в местах, где отсутствует поглощающий слой, с другой - хорошо поглощать его в непрозрачных местах. Для изготовления подложек используют два типа мембран - органические и неорганические (полиамид, кремний, двуокись кремния, алюминий и их соединения).

Подложки должны обеспечивать стабильность размеров масок при изменении температуры и воздействии влаги. При совмещении маски с подложкой с точностью 0,1 мкм стабильность размера маски должна быть лучше 0,1 мкм на расстоянии свыше 100 мм.

Рис. 10.37 Принцип РЛ и искажения при экспонировании резиста

в системе проекционной печати:

а – геометрические; б – полутеневые искажения;

1 – вакуумная камера;  2 – катод; 3 – электронный пучок; 4 – мишень; 5 – источник рентгеновских лучей; 7 – вакуумное окно; 8 – подложка шаблона; 9 – поглотитель рентгеновских лучей; 10 – зазор; 11 – резист; 12 – пластина

Таблица 10.6

Чувствительность рентгенорезистов

Рентгенорезист

Тип

Доза облучения,

мДж/см2

ПММА

Позитивный

1000

Полибутенсульфон

Позитивный

100

Сополимер глицидилметакрилата и этилакрилата

Негативный

50

Поли- (2,3-дихлор-1 -пропилакрилат)

Негативный

10

Эпоксидированный полибутадиен

Негативный

5,2

Поли-2-хлорэтилвинил эфир (1%-ный винилоксиэтилакрилат)

Негативный

18

Сополимер метилметакрилата и метакрилата Т1 (массовая доля 28%)

Позитивный

28

Смесь диакрилата бария (массовая доля 4 части) и диакрилата свинца (массовая доля 1 часть)

Позитивный

25

Сополимер глициди.гакрилата и 2,3-дибром-1-пропилакрилата (молярная доля 60%)

Позитивный

15

Поли-(2,2,3,4,4,4-гексафторбутилмета-крилат)

Позитивный

52

Маска для РЛ не может быть изготовлена на стеклянной основе (КТР стекла 3,7106 град–1), так как в том случае она поглощала бы большую часть рентгеновского излучения. Обычно применяются материалы с малой удельной массой, такие как бериллий, алюминий, кремний и другие простые вещества и соединения легких элементов, например SiO2, Si3N4, SiC, а также некоторые органические соединения.

10.6 Ионно-лучевая и топографическая литографии

Ионно-лучевая литография (ИЛЛ) - метод получения структур с субмикрометровыми размерами элементов. Разрешающая способность ИЛЛ выше, чем электронной. Дифракционные ограничения практически отсутствуют, так как длина волны де Бройля для ионов при сравнимых энергиях значительно меньше, чем для электронов и тем более фотонов. Для ИЛЛ не существует эффекта близости. Боковое рассеяние ионов при их проникновении в вещество незначительно, вторичные электроны имеют малую энергию и тормозятся на расстояниях, меньших сотой доли микрометра. Резисты обладают значительно большей чувствительностью к ионам, чем к электронам, так как удельные потери энергии ионов в десятки раз больше.

Известны три типа ионных источников: дуоплазмотронный, жидкометаллический и газофазный с полевой ионизацией. Работа первого основана на извлечении из плазмы газового разряда, а второго и третьего на явлении отрыва ионов жидкого металла или сорбированного газа с острия анода под действием сильного электрического поля. Принципиальные схемы ионных источников приведены на рис. 10.38, а их характеристики - в табл. 10.7.

Рис. 10.38 Принципиальные схемы ионных источников:

а - дуоплазмотрон; б - газофазный источник с полевой ионизацией;

в — жидко-металлический источник с острием;

г — жидкометаллический капиллярный источник;

1 - электрод; 2 - плазма; 3 - анод; 4 - экстрактор; 5 - эмиттер; 6 - жидкий металл

Таблица 10.7

Основные характеристики ионных источников

Характеристика

Источник

дуоплазмотронный

жидкометаллический

газофазный

Яркость, Асм2стер–1

102

106

109

Эмиттанс,   мкА/стер

2103

20

10 – 60

Виртуальный размер источника, мкм

50

Менее 310–2

Менее 110–3

Разброс ионов по энергиям, эВ

4

5 – 10

1

Рабочее вещество

Ar

Ga, Au, In, Sn, Pb,

Bi, Си, Zn, В

Наибольшей яркостью, достигающей значения 109 Асм2ср–1, и наименьшим разбросом ионов по энергиям обладает газофазный полевой источник. Несколько хуже свойства у жидкометаллического источника, но он прост, надежен в эксплуатации и наиболее пригоден для ИЛЛ. Дуоплазмотрон имеет недостаточную яркость, поэтому при его использовании резко возрастает время экспонирования резиста.

Ионно-лучевая литография развивается в трех направлениях: с использованием коллимированных управляемых ионных пучков, остросфокусированных ионных пучков и ионно-проекционных систем.

Экспонирование коллимированным ионным пучком. Экспериментальные установки ИЛЛ, в которых используются широкие пучки протонов (рис. 10.39) подобны установкам ионного легирования.

Система ИЛЛ для получения рисунка ИС путем экспонирования чувствительного слоя органического резиста аналогична системе РЛ с микрозазором. Шаблон для ИЛЛ изготовляется на основе сплошной тонкой (~0,5 мкм) пленки аморфного (монокристаллического) материала с нанесенной на нее пленкой (0,51 мкм) тяжелого металла, поглощающего протонное излучение, или с отверстиями в тех местах, где должна отсутствовать металлическая пленка.

Пластина, предварительно покрытая слоем резиста ПММА, экспонируется через шаблон, помещенный в непосредственной близости от нее, путем сканирования коллимированным проточным пучком, сечение которого около 1 см1. Энергия протонов в пучке составляет 150250 кэВ, ток пучка 1 мкА. При указанной энергии протоны имеют малую длину пробега в материале основы шаблона (12 мкм), что приводит к сильному поглощению ионов. Толщина основы сплошного ионошаблона должна быть в несколько раз меньше толщины основы рентгеношаблона. Это осложняет изготовление таких ионошаблонов. Кроме того, к недостаткам сплошного ионошаблона относится механическая непрочность многократно облученной тонкой пленки основы.

Ионные источники с использованием полевого эффекта и эмиссии из жидкой фазы обеспечили повышение яркости на четыре — шесть порядков.

Работа жидкометаллического ионного источника базируется на формировании стабилизированного полем конуса жидкого металла, из которого под действием поля происходит испарение ионизированных атомов. К вершине конуса металл поступает из вязкого потока на поверхности эмиттера в результате капиллярных сил и градиента электростатического поля вблизи наконечника эмиттера. Высокоинтенсивный сканирующий зонд представляет собой сфокусированный луч, формирующийся из жидкометаллического галлиевого источника (ионы Ga+ при токе 0,1 нА и диаметре 0,1 мкм)ф. Для галлиевых пучков ток эмиссии может достигать 1...10 мкА.

При малых углах (примерно 1 мрад) можно получить ток ионного пучка в несколько нанометров. Ионный луч формируется ускоряющей линзой с единичным увеличением и расположенной после нее дефлекторной линзой для сканирования. Электростатический дефлектор с помощью ЭВМ позволяет перемещать пучок линейно или сканировать со скоростью 5104 мкм/с. Этот тонкий микропучок может использоваться для микрообработки, легирования и экспонирования резиста. На основе тонкого ионного микропучка можно получить разрешение на уровне 1050 нм.

При использовании полевого эффекта источник обладает малой хроматической аберрацией, что дает возможность получать ионные пучки диаметром около 10 нм, однако токи при этом не превышают 10–11 А.

Источники с использованием эмиссии из жидкой фазы позволяют получать токи до 109 А, однако диаметр ионного пучка в этом случае вследствие зна чительного хроматического разброса (4,514 эВ) достигает 0,25 мкм. Для того чтобы проэкспонировать слой резиста стандартной толщины 0,51 мкм, ионы в пучке должны обладать энергией более 100 кэВ. Использование ионов большой энергии увеличивает боковое рассеяние и уменьшает разрешение, однако, как показывают эксперименты, ионы с энергией 200 кэВ почти на два порядка эффективнее электронов с энергией 20 кэВ. Установка с фокусировкой ионных пучков (рис. 10.40) будет аналогична установке ЭЛЛ, отличаясь от последней лишь применением электростатических, а не магнитных систем фокусировки и отклонения пучка.

Получение ионной проекции изображения. В ионно-проек-ционной системе ИЛЛ ионы в виде пучка извлекаются из источника, проходят через конденсорную систему, зазоры в ионошаблоне-маске, затем через электростатическую линзу, которая формирует уменьшенное (10 : 1) изображение маски на поверхности обрабатываемой пластины (рис. 10.41). Диапазон энергий используемых ионов 30100 кэВ, плотность ионного тока составляет 0,51 мА/см2. Процесс ИЛЛ в данном случае представляет собой последовательное мультиплицирование уменьшенного изображения маски по всей площади подложки. Маски размером 5050 мм могут быть изготовлены из металлической фольги обычной фотолитографией с точностью 3 мкм, обеспечивающей разрешение на кристалле 0,3 мкм и размер облучаемого ионами участка 55 мм. Суммарная аберрация уменьшающих линз на всей обрабатываемой площади не превышает 0,05 мкм, что обеспечивает разрешение проекционной системы около 0,2 мкм.

В случае применения актинорезиста (например, ПММА) ионно-лучевая система аналогична системе электронно-проекционного модульного экспонирования с уменьшением.

Голографическая литография. Принцип голографии заключается в том, что на поверхности или в объеме светочувствительного материала регистрируется интерференционная картина взаимодействия двух волн: предметной и опорной. Этот зарегистрированный интерференционный узор и есть голограмма (рис. 10.42). Если такую голограмму осветить опорной волной с фазой, сопряженной с фазой волны при записи, то восстановится предметная волна, также сопряженная с предметной волной при записи, т.е. волна, строящая действительное изображение объекта.

Голография в проекционной ФЛ обеспечивает:

  •  высокую разрешающую способность на больших полях без использования сложных объектов;
  •  запись и восстановление с одной голограммы нескольких изображений (например, различных слоев ИС);
  •  голографическую передачу высококачественных изображений через поверхности низкого оптического качества (например, через иллюминаторы вакуумных камер);
  •  малую чувствительность голограмм к повреждениям и дефектам, т.е. большой срок службы голограмм-шаблонов;
  •  возможность голографической оптической мультипликации с полной совмещаемостью изображений.

Рис. 10.42 Принцип использования голографической литографии

для изготовления решеток:

а экспонирование: 1  фоторезист; 2  подложка;

б рельеф после травления резиста: 1  задубленный резист; 2  подложка

Схема голографической записи должна обеспечивать одинаковые условия для записи всего поля объекта (условие изопланатизма). Апертурная диафрагма голограммы (как проекционной системы) расположена на самой голограмме, поэтому имеется два варианта, обеспечивающие изопланатизм: схема с расположением объекта в непосредственной близости от голограммы и освещением его плоской волной; схема с расположением объекта на значительном расстоянии от плоскости регистрации и освещением его сходящейся на голограмме волной. Для формирования голограммы требуется оптическая система, обеспечивающая либо плоский, либо сходящийся однородный по интенсивности волновой фронт, сопряженный с опорным с точностью не ниже λ/4. Восстанавливающая волна проходит через подложку голограммы, поэтому любые ее несовершенства влияют на качество изображения. Для любых волновых фронтов, кроме плоских, даже идеальная плоскопараллельная подложка вносит дополнительные аберрации, учет которых при формировании требуемой восстанавливающей волны представляет трудности. Необходимо использовать только плоскую опорную волну, формирование которой требует высококачественной оптической системы и сопряжено с рядом трудностей.

В действительном изображении, формируемом голограммой, неизбежны характерные для когерентного света искажения, возникающие из-за интерференции света, рассеянного на различных дефектах компонентов и пыли на их поверхностях, и света, формирующего основное изображение. Это приводит к ухудшению качества изображения. Уменьшить этот эффект можно введением некоторой избыточности. Интерференционная картина на голограмме имеет сложную пространственную структуру с характерными размерами элементов λ. Получение таких голограмм эквивалентно субмикронной ФЛ, причем необходимо, чтобы пространственная структура не искажалась в процессе записи, а регистрация проводилась на линейном участке зависимости пропускание — экспозиция.

На ФР можно получать высококачественные голограммы, например дифракционные решетки с пространственной частотой до 4000 мм–1. Фоторезист обеспечивает исключение искажений голограммы при регистрации, однако зависимость глубины рельефа от экспозиции является линейной только в определенных режимах проявления.

Для сложных объектов трудно обеспечить высокую энергетическую эффективность голограммы; так, при эффективностях свыше 4...5% возникает интермодуляционный шум, искажающий восстановленное изображение и совершенно недопустимый в ФЛ. Неизбежны также потери, связанные с подбором оптимальной экспозиции и с необходимостью выравнивания интенсивности интерферирующих пучков. Все это на практике снижает полезную долю используемого излучения до 1%. Эффективно для проекционной ФЛ использование синтезированных голографических (дифракционных) оптических элементов в объективах и осветительных системах при создании высококачественных систем формирования микроизображений.

10.7 Напыление в вакууме

На рис. 10.43 изображена схема устройства вакуумной установки, которая применяется для получения тонких пленок путем термического испарения в вакууме.

Технологию получения тонких пленок термическим испарением в вакууме [4, 6, 7, 8] осуществляют следующим образом.

Сначала загружают в рабочую камеру 1 подложки 4, маски 5 и испаряемое вещество. Их устанавливают соответственно в подложкодержатель, маскодержатель и нагреватель 3 при поднятом колпаке. Потом опускают колпак и осуществляют откачку воздуха из камеры до получения определенной степени вакуума. Вакуум должен быть таким, чтобы атомы металла не сталкивались с молекулами остаточного газа при своем движении к подложке, то есть их траектории должны быть прямолинейными. Это условие выполняется, если в камере создается давление порядка 10-5 мм рт.ст. В этом случае расстояние от испарителя до подложки невелико в сравнении со средней длиной свободного пробега молекул газа и большая часть атомов металла будет достигать подложки, не встречаясь и не сталиваясь с молекулами остаточного газа. После этого включают нагревание подложки 4 и испарителя 7 при закрытой заслонке 15. Для нагревания вещества чаще всего используют Джоулевое тепло, выделяющееся в проводниках при прохождении через них электрического тока (электронагрев).

Рис. 10.43 Вакуумная установка

Процесс напыления начинается с момента открытия заслонки 15, продолжается в течение времени, необходимого для получения пленки заданной толщины, и прекращается закрытием заслонки, что прекращает путь атомарному потоку. После этого разгерметизируют камеру 1, вытягивая подложки 4 с напиленной пленкой.

Структура и свойства тонких пленок, полученных путем термического испарения в вакууме, в значительной мере определяются условиями их конденсации и зависят от природы испаряемого вещества и соответствия его структуры структуре подложки; природы подложки, степени ее очистки, микрорельефа и температуры поверхности в процессе конденсации на ней испаряемого вещества; степени вакуума и состава остаточной среды в процессе испарения вещества и его конденсации; скорости испарения вещества; угла падения молекулярного пучка на подложку; толщины пленки.

Адгезия пленки к подложке во многом зависит от наличия окислительного слоя, который может возникнуть в процессе осаждения между пленкой и подложкой. Такой окислительный слой образуется, например, при напылении железа и нихрома, чем и объясняется красивая адгезия этих пленок. Пленки из золота, не поддающиеся окислению, имеют очень плохую адгезию, поэтому между золотом и подкладкой создают промежуточный подслой из материала с высокой адгезией. Желательно, чтобы слой образуемой окиси был равномерно распределен между плевой и подложкой.

Наличие загрязнений на подложке, например в форме небольших изолированных друг от друга островков, сильно влияет на электрофизические свойства пленок. В зависимости от того, какая энергия связи больше – между материалом пленки и этими островками или между материалом пленки и подложкой – пленка образуется или на островках или на поверхности подложки. Поэтому перед напылением необходимо тщательным образом очищать подложки, а также предотвращать появление масляных пленок, которые возникают в результате проникновения паров рабочих вод из насосов.

Размер зерен и степень шероховатости поверхности подложки влияют на структуру образующейся пленки. Для получения гладкой поверхности выполняют термическую полировку стеклянных и других подложек нагревом и оплавливанием поверхностного слоя.

Рассмотренный метод позволяет получать пленки разной толщины. Толщина регулируется изменением скорости или времени конденсации. На процесс формирования пленок влияют несколько факторов, наиболее существенным из которых является температура подложки. В зависимости от этой температуры могут реализовываться разные механизмы конденсации, которые определяют структурное состояние и магнитные свойства пленок. В частности, при повышении температуры подложки от 200 до 500С наблюдается заметное изменение магнитной проницаемости и величины внешнего магнитного поля, в котором происходит насыщение ферромагнитной среды.

Преимуществами этого метода являются:

  •  низкая стоимость оборудования;
  •  простота конструкций испарителей;
  •  высокая скорость нанесения покрытий.

Недостатками метода являются:

  •  разбрызгивание испаряемого материала на подложку;
  •  высокие требования к качеству материала проволоки (отсутствие раковин, газовых включений).

10.8 Помещения и условия для производства полупроводниковых приборов

Чистота производственных помещений

Производственное помещение состоит из пространства, ограниченного потолком, стенами и полом, в середине которого располагают технологическое оборудование и рабочие места персонала.

К помещениям для производства полупроводниковых приборов с использованием вакуумного технологического оборудования предъявляют ряд условий, среди которых следующие:

  •  обеспечение потоков ламинарий воздуха горизонтального и вертикального направлений заданной скорости;
  •  фильтрация всего объема воздуха, проходящего сквозь помещение;
  •  контроль персонала (профессиональный отбор);
  •  очистка материала изделий;
  •  шлюзовая система подачи изделий;
  •  выполнение определенных требований к материалу, конструкции комнаты, оборудованию, спецодежде, регламенту очистки и уборки помещения;
  •  местные герметические отсосы продуктов обработки, выбросы и ливни отработанных реактивов;
  •  обеспечение кондиционных условий работы для осуществления технологического процесса: термостатирование, снятие вибраций, контроль относительной влажности и статического заряда;
  •  контроль условий, обеспечивающих работоспособность персонала: состав газовой среды, уровень и состав шумов, степень ионизации подаваемого воздуха;
  •  установление строгого регламента работы и поведения персонала: ограничения доступа, специальные правила перемещения и положения операторов в системе “поток – изделие”;
  •   установление оборудования повышенной надежности.

Жесткие требования к чистоте воздушной технологической среды обусловлены переходом к прецизионным методам обработки материалов и приводят к необходимости классифицировать существующие помещения. В США, Франции, Германии перешли на новые стандарты чистоты.

Стандартом FS-207В предусмотренные классы чистоты производственных помещений, которые отображены в табл. 10.8. Счет частиц должен осуществляться в активный период работы помещения, с полным составом действующего персонала и в максимальной близости к зоне выполнения технологических операций.

Таблица 10.8

Классы чистоты производственных помещений

Класс

Максимальное число частиц в 1 дм3 размером, мкм

0,5

5

100

3,5

-

10000

350

2

1000000

3500

25

Во Франции действует стандарт ASPEC, аналогичный стандарту FS-207В (США). В Германии принят стандарт VDI2083. Классификация выполняется по показателям содержания частиц размером 1 мкм в 1 м3. В ряде случаев производители оборудования в технических требованиях указывают показатели, характеризующие уровень загрязнений, вносимых процессами загрузки, разгрузки и технологической обработки. При работе оборудования могут образовываться частицы разных размеров, табл. 10.9.

Таблица 10.9

Типичные размеры частиц, возникающих при работе с оборудованием

Класс чистоты

Число частиц в 1 дм3 размером, не более, мкм

0,1

0,3

0,5

VI 100000

105

104

3500

V 10000

104

103

350

IV 100

100

10

3,5

ІІІ 100 (0,3 мкм)

35

3,5

1,7

ІІ 100 (0,1 мкм)

3,5

0,35

-

И 10 (0,1 мкм)

0,35

-

-

Стены чистой комнаты, как правило, состоят из фильтров, решеток, специальных перегородок, модульной облицовки панелями из фурнитурной стали с жестким полихлорвиниловим покрытием или из нержавеющей стали, которые не поддаются поверхностному короблению, скручиванию или растрескиванию. Каждая панель является свободной от выступлений и впадин, имеет гладкую поверхность и выполнена из цельного стального листа, двухслойная, с теплоизолирующим наполнителем, с двойным застеклением, эффективно уменьшает проникновение вибраций, шума и температур в чистую комнату.

Модульные панели устанавливают впритирку по длине и каждую соединят извне специальными зажимами. Наличие между панелями полихлорвиниловых уплотнений обеспечивает полную герметичность их соединений. Углы между секционными панелями, полом и потолком изолируют полихлорвиниловой лентой, которая гарантирует герметичность, а наличие закруглений облегчает очистку комнаты.

Потолки для вертикальной системы фильтрации монтируют полностью из фильтров, частично чередующимися со светильниками. Во всех других случаях потолок должен иметь такие же показатели чистоты, как и все компоненты комнаты, а именно – быть чистым, не пористым, не осаждать пыль, иметь ровную и гладкую поверхность, легко поддаваться очистке. Освещение осуществляется извне через двойные стеклянные прорезы. Уже на этапе проектирования чистых технологических помещений необходимо учитывать:

  •  факторы, отображенные в табл. 10.10;
  •  желаемый класс комнаты;
  •  количество загрязнений от разных элементов конструкции, обрабатываемых изделий, материалов, операторов, оборудования, воздуха, воды;
  •  исходный уровень вибрации, шума, возможность возникновения и генерации шума и вибраций;
  •  необходимые освещенность, влажность и температура;
  •  комплексное планирование указанных условий обеспечения чистоты;
  •  степень очистки зданий и строительных материалов, системы кондиционирования с обменом воздуха, применение специальных трубопроводов для воздуха, газов, воды и контрольных устройств;
  •  гибкость планирования производственных линий, возможность внесения изменений в технологический процесс, изменения оборудования и расширения производства;
  •  обеспечение рациональных методов проектирования и эксплуатации зданий и оборудования;
  •  исследование путей снижения стоимости.

Таблица 10.10

Требования к конструкционным элементам чистых комнат

Источник загрязнений

Размер образующихся частиц, мкм

Трение и царапины обычных окрашенных поверхностей деталей

90,0

Скольжение несмазанных поверхностей металла

75,0

Сгибание или складывание бумаг

65,0

Трение по поверхности, окрашенной обычными эпоксидными красками

40,0

Завинчивание металлических гаек

30,0

Работа приводных ремней

30,0

Использование шариковой ручки при письме на обычной бумаге

20,0

Закручивание пластмассовых деталей гаечным ключом

8,0

Повреждение кожи

4,0

Пайка канифольным флюсом

3,0

Масляный туман от насосов

0,1

Очистка чистых комнат рассматривается как самостоятельный технологический процесс. Предусмотрено применение ежесуточной вакуумной или влажной уборки с использованием специальных моющих средств и безворсовых материалов. Смеси для очистки комнат класса 100, как правило, специализированные по виду покрытия. Уборка проводится техническим персоналом.

Различают две системы циркуляции воздуха. Первая – с движением ламинарии потока. Она в свою очередь разбивается на систему с горизонтальным потоком и систему с вертикальным потоком. Обе эти системы имеют среднюю стоимость. Скорость движения воздуха поддерживается на уровне 7,46 м/с, что необходимо для переноса частиц. Вторая система – с конвекционным потоком, обеспечивает полное и равномерное перемешивание пыли в воздухе.

Вибрация пагубно влияет на работу прецизионного оборудования, поэтому в проект чистой комнаты с самого начала закладывают ветрозащитные средства.

Основой обеспечения вибрационной стойкости является правильный выбор конструкции здания. Целесообразно соединять специальную конструкцию зданий и фундамент на большой изолированной плите, соединенной с пневматическим изолятором. Пневмостолы модели Vibraplane гасят 77% вибраций.

Требования к обслуживающему персоналу

Качество одежды обслуживающего персонала имеет важное значение. Чистая одежда должна изготовляться из полиэфира с высокой проводимостью или с вплетенными металлизированными или карбидными волокнами для снятия статического заряда. Загрязняющее влияние оператора зависит от технологической одежды и производственных ресурсов. В японских чистых комнатах, рядом со строгими правилами ношения технологической одежды, действуют не менее строгие правила поведения персонала, что ограничивают его перемещение в середине чистой комнаты и расположения относительно обрабатываемого изделия.

Большое значение имеет порядок надевания чистой одежды. Специалисты установили, что рабочие и служащие большинства чистых комнат США одевались в неправильном порядке. Был разработан специальный “непылящий процесс” и плакаты для напоминания персоналу правил и порядка одевания, табл. 10.11.

Для уменьшения загрязняющего влияния оператора действуют следующие правила использования защитной одежды и личной гигиены:

  •  использование специальной бумаги для чистых комнат и шариковых ручек с наименьшим диаметром шарика;
  •  отсутствие косметики, особенно парфюмерий и дезодорантов;
  •  использование специальных кремов или мыла на основе ланолина для очистки кожи;
  •  исключение контактов растворителей с открытыми участками кожи для предотвращения обезжиривания, а также применение специальных тряпок и полотенец;
  •  в случае необходимости применение специальных рукавиц, не образующих пыли, полное исключение загрязняющих отпечатков пальцев;
  •  запрещено касаться перчатками любых предметов и поверхностей (особенно частей тела), не указанных в инструкции;
  •  запрещено размещать инструмент или изделия на столе или другой поверхности до очистки ее специальной салфеткою, смоченной изопропиловым спиртом или другим аналогичным растворителем;
  •  необходимо убирать после работы инструменты в специальный перфорированный лоток для предотвращения их загрязнения;
  •  очистка всех деталей, контейнеров, лотков, фиксаторов и инструментов до уровня чистоты обрабатываемого.

Таблица 10.11

Выделение частиц оператором за одну минуту

Положение оператора

Вид одежды

А

В

С

размер выделяемых частиц, мкм

> 0,3

> 0,5

> 0,3

>0,5

> 0,3

> 0,5

Хождение

5,36.106

2,97.106

1,2.106

1,01.106

157000

56000

Движение руками вверх - вниз

4,45.106

2,98.106

462000

300000

48600

18700

Наклон вперед

3,92.106

2,24.106

775000

540000

39000

24200

Свободные движения руками

3,47.106

2,24.106

570000

289000

52300

20500

Поворот верхней части туловища

2,24.106

850000

390000

276000

31700

14900

Поворот головы влево - вправо

1,26.106

631000

187000

151000

224000

11200

Неподвижное положение в ламинарном потоке

543000

339000

151000

113000

187200

5600

А – обычная рабочая одежда; В – белая пылезащитная одежда;

С – пылезащитный комбинезон.

Литература к главе 10

  1.  Гусев В.Г., Гусев Ю.М. Электроника. – М.: Высшая школа, 1991. – 622 с.
  2.  Краткий справочник конструктора радиоэлектронной аппаратуры. / Под ред. Р.Г. Варламова. – М.: Сов. радио, 1973. – 856 с.
  3.  Готра З.Ю. Технология микроэлектронных устройств: Справочник. – М.: Радио и связь, 1991. – 528 с.
  4.  Балицкий А.В. Технология изготовления вакуумной аппаратуры. – М.-Л.: Энергия, 1966. – 312 с.
  5.  Спринг С. Очистка поверхности металлов. – М.: Мир, 1966. – 337 с.
  6.  Физико-химические свойства окислов: Справочник // Под ред. Г.В.Самсонова. – М.: Металлургия, 1967. – 456 с.
  7.  Черепин Н.В. Основы очистки, обезгаживания и откачки вакуумной техники. – М.: Советское радио, 1967. – 408 с.
  8.  Бутко М.П., Бочок М.П., Ващенко В.А., Канашевич Г.В., Котельніков Д.І. Спеціальні методи обробки оптичного скла. (Техніка, технологія, економіка). Навчальний посібник / Под ред. Котельникова Д.І. – Чернігів – ЧДТУ, 20021. – 365с.

364

Рис. 10.10 Схема устройства для испарения кремния:

1 - основание; 2 - изолирующая прослойка; 3 - токопроводы;

4 - кремниевый брусок; 5 - медные трубки

Рис. 10.11 Схема устройства для электронно-лучевого нагрева кремния:

1 - нагреватель подложки;

2 - заслонка; 3 - подложка кремния;

4 - кремниевый источник;

5 - электронный поток;

6 - вольфрамовая нить

а

б

Рис. 10.24 Спектр поглощения

ФН: 

1 – каучук с бис-азидом;

2 – сенсибилизированный ПВЦ;

3– экспонированный резист

Рис. 10.25 Спектр поглощения ФП: 1 – новолачная смола № 18 (0,73 мкм); 2 – ФР на основе НХД № 7 (1,7 мкм); 3 – НХД № 7(0,17 мкм) до экспонирования; 4 – те же после экспонирования

Рис. 10.26 Характеристические кривые для ФН и ФП

Рис. 10.9 Зависимость

скорости испарения

кремния от температуры

Рис. 10.12 Схема устройства для получения слоев кремния методом сублимации:

1 – кремниевая подложка; 2 – источник кремния (подставка);

3 – высокочастотный индуктор; 4 – держатель из кварца;

5 – рабочая камера из кварца

Рис. 10.13 Схема устройства для катодного распыления кремния:

1 – держатель подложки (анод);

2 – нагреватель подложки;

3 – испаритель (катод)

Рис. 10.15 Схема установки осаждения из газовой фазы

при низком давлении:

1 - печь резистивного нагрева;

2 - реакционная печь; 3 - лодочка;

4 - пластина; 5 - пирометр;

6 - система регулировки газа;

7 - вакуумметр; 8 - вакуумный насос

Рис. 10.16 Конструкция установки плазменного осаждения из газовой фазы конденсаторного типа:

1 – источник ВЧ – мощности;

2 – вакуумный насос

Рис. 10.18 Зависимость скорости роста пленки кремния от молярного содержания SiCl4

ис. 10.21 Схема установки для выращивания пленок методом МЛЭ:

  1.  – электронная пушка; 2 – система дифракции электронов 3 – ионная пушка;

4 – масс-спектрометр; 5 – оптико-электронная система; 6 – экран для дифракции электронов низких энергий; 7 – криопанель; 8 – ячейка Кнудсена;

9 – механическая заслонка;

10 – система для крепления и перемещения подложек

Рис. 10.22 Структура монокристаллической пленки кремния, полученной методом графоэпитаксии

Рис. 10.28 Зависимость времени экспонирования (1) и точности передачи размера элемента (2) от температуры сушки

Рис. 10.40 Схема экспонирования остросфокусированным ионным пучком:

1 - источник ионов; 2 - ионный пучок; 3 - фокусирующая система;

4 - управляющая система;

5 - подложка для микросхемы

Рис. 10.39 Схема экспонирования коллимированным ионным пучком:

1 - источник ионов; 2 - ионный пучок; 3 - коллимирующая система; 4 - управляющая система; 5 - шаблон с отверстиями или сплошной; 6 - пленка материала, не пропускающего ионы;

7 - подложка для ИС

Рис. 10.41 Схема модульного проекционного экспонирования с уменьшением изображения на шаблоне:

1 - источник ионов; 2 - ионный пучок;

3 - шаблон с отверстиями; 4 - фокусирующая система; 5 - подложка для ИС




1. общий объем ярмарочной торговли в 1818 г
2. Вступ Загальна характеристика основних засобів
3. золотых лет Глава 5
4. Российский государственный профессиональнопедагогический университет Институт лингвистики Кафедра
5. Родниковская школаинтернат VIII вида Занятие по интересам Букет цветов Занятие подго
6. Айресе Она была основана 28 августа 1945 года Роберто Нобле
7. по теме- Анализ производства продукции растениеводства
8. задание Ответы вписывайте в специально отведенные для этого места
9. Омская юридическая академия УТВЕРЖДАЮ Ректор Ю.html
10. тема экономических отношений включающая образование за счет предприятий организаций и населения специаль
11. милостивая она была женщиною добродетельною
12. Преимущества и недостатки лизинга Лизинг это один из способов ускоренного обновления основных средств
13. ТЕМА 7 Физиократы Узловые вопросы фирмы Концепция естественного порядка Ф
14. Что приготовить для праздничного стола на Новый год 2014 Восточные астрологи предписывают встречать Новый 2
15. РЕФЕРАТ ПО ФИНАНСОВОМУ ПРАВУ ОРГАНИЗАЦИЯ БЮДЖЕТНОГО КОНТРОЛЯ
16. По теме- Работа с массивами Выполнил-
17. Китай у середині XVII на початку ХХ століття
18. Фет Афанасий
19. правовой статус граждан РФ устанавливается Конституцией РФ и другими нормативными правовыми актами
20. химические 1093 Безопасности 109