Будь умным!


У вас вопросы?
У нас ответы:) SamZan.net

Требования к вычислительному модулю [3

Работа добавлена на сайт samzan.net:


Содержание

[1] Содержание

[2] ВВЕДЕНИЕ

[3] 1   СПЕЦИАЛЬНАЯ ЧАСТЬ

[3.1] 1.1   Требования к вычислительному модулю

[3.2] 1.2   Разработка функциональной схемы ячейки

[3.3] 1.3   Разработка принципиальной электрической схемы ячейки

[3.3.1] 1.3.1   Выбор элементной базы

[3.3.2] 1.3.2   Описание электрической принципиальной схемы

[3.4] 1.4   Расчет тока потребления ФЯ вычислительного модуля

[3.5] 1.5   Разработка программного обеспечения дешифратора

[4] 2   Конструкторско-технологическая часть

[4.1] 2.1   Разработка ТЗ на конструкцию ФЯ вычислительного модуля для устройства цифровой обработки сигналов

[4.2] 2.2   Конструкторско-технологический анализ элементной базы ФЯ ячейки вычислительного модуля

[4.3] 2.3   Выбор способа охлаждения ФЯ вычислительного модуля

[4.4] 2.4   Выбор компоновочной схемы и несущих конструкций ФЯ вычислительного модуля

[4.5] 2.5   Разработка конструкции ФЯ вычислительного модуля

[4.5.1] 2.5.1   Расчет площади печатной платы

[4.5.2] 2.5.2   Разработка конструкции печатной платы

[4.6] 2.6   Расчет надежности по внезапным отказам ФЯ вычислительного модуля

[4.7] 2.7   Расчет вибропрочности конструкции ФЯ вычислительного модуля

[4.8] 2.8   Оценка технологичности конструкции ФЯ вычислительного модуля

[4.9] 2.9   Технологический процесс сборки и монтажа ФЯ вычислительного модуля

[4.10] 2.10 Разработка специальной технологической оснастки

[5] 3   Экономическая часть

[5.1] 3.1   Обоснование целесообразности разработки ячейки вычислительного модуля

[5.2] 3.2   Определение трудоемкости и планирование разработки

[5.3] 3.3   Определение затрат, себестоимости и цены ОКР

[5.4] 3.4   Определение себестоимости и цены новой техники

[5.5] 3.5   Определение затрат на эксплуатацию новой техники

[5.6] 3.6   Определение и оценка показателей экономической эффективности разработки

[6] 4   Охрана труда и окружающей среды

[6.1] 4.1   Анализ условий труда разработчика

[6.1.1] 4.1.1   Микроклимат

[6.1.2] 4.1.2   Расчет показателей теплового состояния человека

[6.1.3] 4.1.3   Электрический ток (повышенное напряжение)

[6.2] 4.2   Разработка мероприятий по уменьшению отрицательного воздействия неблагоприятного микроклимата и защите от воздействия электрического тока (повышенного напряжения)

[7] ЗАКЛЮЧЕНИЕ

[8] СПИСОК ИСПОЛЬЗУЕМЫХ ИСТОЧНИКОВ

[9] ПРИЛОЖЕНИЯ

[9.1] Приложение А. Функциональная схема ячейки

[9.2] Приложение Б. Принципиальная электрическая схема

[9.3] Приложение В. Перечень элементов

[9.4] Приложение Г. Текст программы дешифратора

[9.5] Приложение Д. Текст программы для тестирования устройства

[9.6] Приложение Е. Спецификация

[9.7] Приложение Ж. Графические листы


ВВЕДЕНИЕ

Цифровая обработка сигналов является одной из наиболее быстро развивающихся областей техники, обеспечивающих общий прогресс радиолокации в последнее время.

Переход к многофункциональным РЛС диктуют повышенные требования к многорежимности  и универсальности используемых устройств обработки сигналов [1]. В подобных РЛС устройство обработки сигналов (УОС) обеспечивает прием и обработку в реальном масштабе времени радиолокационных сигналов, отраженных от целей, и предназначено для обеспечения следующих основных режимов работы РЛС:

  •  обнаружение целей;
  •  сопровождение целей.

Упрощенная структурная схема РЛС представлена на рисунке 1.

Рисунок 1 – Упрощенная структурная схема РЛС

Передатчик РЛС вырабатывает сигнал, который попадает в приемо-передающую антенну и излучается. Сигнал отражается от цели и воспринимается приемо-передающей антенной. Далее принимаемый сигнал поступает на СВЧ приемник, где происходит пространственная селекция, усиление сигнала и понижение несущей частоты до величин, удобных для дальнейшей обработки. Устройство обработки сигналов (УОС) реализует следующие операции:

  •  оптимальная частотно-временная фильтрация сигналов;
  •  предварительное обнаружение полезных сигналов;
  •  измерение координат, соответствующих обнаруженным сигналам;
  •  вычисление сигналов ошибок по угловым координатам, дальности и скорости для сопровождаемых целей.

Результаты обработки передаются в вычислительный комплекс, в задачи которого входит сопровождение целей и управление всеми устройствами РЛС.

Устройство обработки сигналов состоит из несколько взаимосвязанных частей. Структурная схема УОС представлена на рисунке 2.

Рисунок 2 – Структурная схема УОС

Основными функциями первого блока являются управляемое усиление, формирование полосы сигналов, обрабатываемых в цифровом виде, и аналого-цифровое преобразование сигналов. После этого, сигналы поступают на блок цифровой обработки сигналов через цифровой фильтр.

Блок цифровой обработки сигналов состоит из функциональных блоков (ячеек): входной коммутатор, вычислительный модуль, устройство управления (Host процессор), синхронизатор блока и ячейка индикации. Структурная схема блока приведена на рисунке 3.

Входной информационный сигнал поступает от АЦП на входной коммутатор, который распределяет информацию на четыре вычислительных модуля.

Рисунок 3 – Структурная схема блока ЦОС

Вычислительные модули выполняют задачу обработки цифрового сигнала.

Синхронизатор блока осуществляет общее тактирование ячеек. Тактирование системы определяется режимом работы и глобальными тактовыми сигналами, поступающими от центрального синхронизатора.

Устройство управления блока цифровой обработки сигналов выполняет следующие функции:

  1.  прием и обработка управляющей информации, поступающего по каналу обмена от центрального вычислительного комплекса;
  2.  формирование и выдача управляющей информации для всех узлов блока;
  3.  прием результатов от вычислительных модулей и передача итоговой информации в центральный вычислительный комплекс.

Ячейка индикации отображает состояние исправности/неисправности всех узлов блока.

Функционирование блока происходит в режиме конвейера. Основные этапы:

  •  принятие входной информации;
  •  обработка информации;
  •  выдача обработанной информации.

В данном дипломном проекте рассматривается разработка ячейки вычислительного модуля. Разрабатываемое устройство является одним из главных звеньев в блоке цифровой обработки сигналов.


1   СПЕЦИАЛЬНАЯ ЧАСТЬ

1.1   Требования к вычислительному модулю

Устройство предназначено для осуществления обработки цифровых сигналов. Напряжение питания ячейки 5В, потребляемый ток не более 7,5 А, тактовая частота 50 МГц.

Устройство должно обрабатывать информацию, поступающую от аналого-цифрового преобразователя (АЦП), разрядность входных слов – 10.

Требования к конструкции ячейки вычислительного модуля приведены в ТЗ на ее разработку.


1.2   Разработка функциональной схемы ячейки

Функциональная схема ячейки вычислительного модуля представлена в Приложении А.

Функциональная схема ячейки состоит из следующих элементов:

  1.  восемь процессорных элементов осуществляют обработку информации, поступающей от АЦП через контроллер;
  2.  контроллер управления осуществляет распределение информации, поступающей от АЦП по процессорам;
  3.  дешифратор управляющих сигналов осуществляет преобразование входных сигналов в определенные выходные для других элементов ячейки;
  4.  буферы адреса, данных, сигналов управления, флагов, входной информации, необходимые для корректной передачи сигналов;
  5.  приемник высокоскоростной дифференциальной линии необходим для обработки низкоуровневого дифференциального сигнала;
  6.  источник тактовой частоты необходим для функционирования процессоров и дешифратора.

На ячейку вычислительного модуля через входной коммутатор приходит информация от АЦП, которую нужно обработать. В каждом слове, поступающем от АЦП, десять разрядов, восемь из которых информационные, а два – служебные. Т.е. на информационный вход контроллера непрерывно поступает по десятиразрядной параллельной шине информация. Девятый и десятый разряды контроллер выделяет и использует для своей работы, а разряды с первого по восьмой он распределяет по четырехразрядной шине между процессорами в соответствующий момент времени. На процессоры контроллер выдает информацию в следующем виде:

- 1-е слово содержит 31-28 разряды 32-х разрядного слова;

- 2-е слово содержит 27-24 разряды 32-х разрядного слова;

- 3-е слово содержит 23-20 разряды 32-х разрядного слова;

- 4-е слово содержит 19-16 разряды 32-х разрядного слова;

- 5-е слово содержит 15-12 разряды 32-х разрядного слова;

- 6-е слово содержит 11-8 разряды 32-х разрядного слова;

- 7-е слово содержит 7-4 разряды 32-х разрядного слова;

- 8-е слово содержит 3-0 разряды 32-х разрядного слова.

Все восемь процессоров получают от Host процессора по шинам адреса и данных пакет управляющей информации, содержащий необходимые данные о выбранном способе обработки информации, поступающей от АЦП через контроллер.

После обработки информации каждый процессор выставляет флаг о готовности или неисправности, сигналы поступают на дешифратор, который обрабатывает их и выставляет флаг готовности или неисправности для всех процессоров. Результат обработки информации передается с процессоров по шине данных через дешифратор на Host процессор. При необходимости, через Link-порты можно считать предварительные результаты обработки информации.  На дешифратор также поступают сигналы управления, которые распределяются по элементам ячейки. Также дешифратор управляет направлением передачи буфера данных между Host процессором и ячейкой  и выполняет функцию дешифрирования адреса.


1.3   Разработка принципиальной электрической схемы ячейки

1.3.1   Выбор элементной базы

Одним из важных вопросов обеспечения высоких характеристик ячейки вычислительного модуля и блока цифровой обработки сигналов является выбор элементной базы. При выборе элементной базы возможны два подхода:

  •  использование элементной базы, используемой ранее;
  •  использование новой перспективной элементной базы.

В первом случае отсутствует проблема освоения новых элементов, и процесс разработки может быть ускорен, но характеристики устройства останутся прежними. Во втором случае подход является прогрессивным, но более трудоемким.

Выбор новой элементной базы обуславливается также тем, что разработка новой техники является длительным процессом, и необходимо обеспечить ее передовой уровень на несколько лет вперед.

При выборе элементной базы ячейки вычислительного модуля необходимо руководствоваться следующими критериями:

  •  обеспечение высокой производительности;
  •  возможность решения разноплановых задач;
  •  преемственность в других устройствах блока.

В данном дипломном проекте использована импортная элементная база. Выбор зарубежной элементной базы обуславливается ее быстродействием, высокой производительностью, надежностью, наличием полной  документации на изделия и эффективностью. По этим параметрам импортная элементная база намного превосходит отечественную. Далее приведем краткое описание компонентов.

Процессорный элемент

Главным функциональным устройством ячейки вычислительного модуля является процессорный элемент. В качестве процессорного элемента используем цифровой сигнальный процессор ADSP TS201S семейства TigerSHARC фирмы Analog Devices. Также целесообразно использовать данный процессор для унификации элементов по номенклатуре в блоке. Процессор ADSP-TS201S основан на статической суперскалярной архитектуре, которая совмещает в себе функции архитектур RISC, VLIW и стандартных цифровых сигнальных процессоров [2]. Аппаратная поддержка типов данных с фиксированной и плавающей точкой в комбинации с передовыми возможностями мультипроцессорной обработки позволяет процессору TigerSHARC обеспечивать непревзойденную производительность в операциях цифровой обработки сигналов.  Процессор обладает следующими характеристиками:

  •  тактовая частота до 600 МГц;
  •  производительность 1500 MFLOPs на Ватт;
  •  разрядность 64 бита;
  •  поддержка мультипроцессорных систем;
  •  операции с данными с плавающей и фиксированной запятой;
  •  возможность параллельного выполнения до четырех 32-разрядных команд за один цикл;
  •  возможность отладки программного обеспечения на ячейке.

Процессор имеет двойной вычислительный блок, позволяющий реализовывать набор SIMD инструкций (одиночный поток команд, множество потоков данных), обеспечивающих параллелизм на уровне данных. Статическая суперскалярная архитектура предоставляет возможность выполнять несколько математических операций за один процессорный цикл (до четырёх инструкций за цикл). Другие особенности процессора:

  •  время выполнения инструкции 1,67 нс;
  •  операции умножения могут производиться одновременно (до восьми 16 битных операций умножения в формате с фиксированной запятой) и реализуются посредством векторных вычислений;
  •  память организована в шесть блоков по 4 Мбит каждый, блок содержит 128 килослов при 32 битах;
  •  четыре независимых 128-битных шины данных, каждая из которых подключена к 4 мегабитным банкам памяти.
  •  поддерживается мультипроцессорный режим (до 8 процессоров).

Процессоры в таком режиме имеют общую шину, общее унифицированное адресное пространство, возможность работы с другими мультипроцессорными группами.

Начальная загрузка процессора может быть осуществлена одним из четырех способов: загрузка из флэш-памяти (через внешний порт), Host загрузка (через внешний процессор), загрузка через линк-порт и отсутствие начальной загрузки (No boot). В нашем случае вид загрузки определяется комбинацией соединения выводов процессора (strap mode pins).  После этого процессор загружает программу начальной загрузки, содержащей 256 слов стартовой информации.

Токи потребления:

- ток потребления 0,05А при напряжении питания +1,05В;

- ток потребления 0,25А при напряжении питания +1,5В;

- ток потребления 0,15А при напряжении питания +2,5В.

Дешифратор

Дешифратор управляющих сигналов осуществляет преобразование входных сигналов в определенные выходные для других элементов ячейки.

Для реализации дешифратора удобно использовать программируемые логические интегральные схемы (ПЛИС). Такие микросхемы позволяют изменять функционал устройства за счет изменения программного обеспечения, без изменения аппаратной части.

В качестве микросхемы для реализации дешифратора выбираем микросхему EPM7256 семейства MAX7000 фирмы Altera. Микросхема обладает следующими основными характеристиками:

  •  количество логических вентилей - 5000;
  •  количество макроячеек – 256;
  •  число программируемых выводов – 164 [3].

Ток потребления равен 10,3мА при напряжении питания +3,3В.

Контроллер управления

Контроллер управления осуществляет распределение информации, поступающей от аналого-цифрового преобразователя (АЦП) через входной коммутатор, по процессорам.

Для реализации контроллера также используем ПЛИС. В качестве микросхемы для реализации выбираем микросхему EP2C15A семейства Cyclone II фирмы Altera. Основные характеристики микросхемы:

  •  количество логических ячеек – 14448;
  •  число программируемых выводов – 315 [4].

Токи потребления:

- ток потребления 29мА при напряжении питания +1,2В;

- ток потребления 61мА при напряжении питания +2,5В;

- ток потребления 0,3мА при напряжении питания +3,3В.

Микросхема ПЗУ

Контроллер может быть сконфигурирован к загрузке из внешнего стираемого программируемого ПЗУ. В качестве микросхемы памяти начального запуска и программ выбираем микросхему EPCS16 фирмы Altera. Это 16-мегабитное устройство флэш-памяти с тактовой частотой 40 МГц [5].

Ток потребления равен 15мА при напряжении питания +3,3В.

Приемник высокоскоростной дифференциальной линии

С разъема X1 на ячейку приходит LVDS сигнал (низкоуровневый дифференциальный сигнал). Для обработки этого сигнала используем микросхему SN65LVDS2 фирмы Texas Instruments, которая является приемником высокоскоростной дифференциальной линии.

Ток потребления равен 5,5мА при напряжении питания +3,3В [6].

Буфера адреса, данных, сигналов управления

Передача сигналов в ячейке вычислительного модуля осуществляется как от одного вывода микросхемы к другим выводам, так и к разъемам других ячеек блока. Чтобы обеспечить надежную передачу сигналов необходимо использовать специальные шинные приемопередатчики, называемые буферными элементами.

Одной из таких микросхем является микросхема 74GTLPH1645 фирмы Texas Instruments. 74GTLPH1645 является 16-ти битным шинным приемопередатчиком (или двумя 8-ми битными), который реализует преобразование уровней сигналов GTLP-ТТЛ и ТТЛ-GTLP [7]. В зависимости от сигнала на выводе DIR микросхемы информация может передаваться от порта A к порту B, либо наоборот. Вывод OE микросхемы необходим для включения/выключения шинного преобразователя и возможности изоляции шины. Таблица истинности представлена в таблице 1.1.

Таблица 1.1 – Таблица истинности микросхемы 74GTLPH1645

Выводы

Выход

Режим

DIR

H

X

Z

Изоляция шины

L

L

B – A

Передача информации

L

H

A – B

где: H = High – высокий уровень сигнала;

        L = Low – низкий уровень сигнала;

        X – не имеет значения уровень сигнала;

        Z – высокий импеданс выключенного состояния.

Ток потребления равен 40мА при напряжении питания +3,3В.

Еще одна микросхема подобного функционала – 74LVTH16245A фирмы Texas Instruments. Микросхема предназначена для асинхронной передачи информации между двумя шинами данных [8]. Логические уровни вывода управления направлением передачи (DIR) и вывод разрешения передачи  активируют восемь выводов  B-порта или A-порта. Микросхема передает данные из шины A в шину B, когда выводы B-порта являются активированными, и из шины B в шину A, когда выводы A-порта активированы. Таблица истинности представлена в таблице 1.2.

Таблица 1.2 – Таблица истинности микросхемы 74LVTH16245A

Выводы контроля

Выходной канал

Режим

DIR

Порт A

Порт B

L

L

Задействован

Z

B – A

L

H

Z

Задействован

A – B

H

X

Z

Z

Изоляция шины

Ток потребления равен 5мА при напряжении питания +3,3В.

Формирователь тактовых импульсов

Для функционирования процессора и дешифратора необходима системная тактовая частота. В качестве источника тактовой частоты используем кварцевый резонатор GXO-7531 с током потребления 45мА и напряжением питания +3,3В, выходной частотой 100 МГц [9]. Выходной сигнал источника через нагрузку поступает на микросхему IDT74FCT3807 фирмы IDT, выполняющую функцию формирователя тактовых импульсов 1-10 (clock driver). Ток потребления равен 15,5мА при напряжении питания +3,3В [10]. Функциональная схема формирователя представлена на рисунке 1.1.

Рисунок 1.1 – Функциональная схема формирователя IDT74FCT3807

Буфер флагов

В качестве буферного элемента для выходных флагов используем микросхему 74LVT244 фирмы Texas Instruments. Данная микросхема является восьмиразрядным буфером и формирователем линии, предназначенной специально для низкоуровневых сигналов +3,3В [11]. Ток потребления равен 8,6мА при напряжении питания +3,3В.

Таблица истинности микросхемы представлена в таблице 1.3.

Таблица 1.3 – Таблица истинности микросхемы 74LVT244

Входы

Выходы данных

Входы данных

L

L

L

L

H

H

H

X

Z

Буфер JTAG

Для возможности программирования и отладки программы работы процессора необходимо реализовать подключение через JTAG интерфейс. Для этого используем буфер 74AVC16244 фирмы Philips Semiconductors.

Ток потребления равен 0,1А при напряжении питания +3,3В [12].

Функциональная схема буфера представлена рисунке 1.2.

Рисунок 1.2 – Функциональная схема буфера 74AVC16244

Для возможности тестирования и отладки программы работы процессора помимо реализации подключения через JTAG интерфейс, необходимо реализовать тактирование процессоров. Для этого используем формирователь тактовых импульсов IDT49FCT3805E фирмы IDT. Функциональная схема формирователя тактовых импульсов представлена на рисунке 1.3 [13]. Устройство состоит из двух блоков формирователей, каждый из которых состоит из пяти выходов, а также имеет вывод управления блоком.

Устройство предназначено для высокоскоростного распространения сигнала тактирования, где качество сигнала является важным параметром.

Ток потребления равен 1,6мА при напряжении питания +3,3В.

Рисунок 1.3 – Функциональная схема формирователя IDT49FCT3805E

Преобразователи напряжения

Для функционирования всех элементов ячейки вычислительного модуля необходимо обеспечить наличие следующих напряжений питания: +5В; +3,3В; +2,5В; +1,5В; +1,2В; +1,05В. Напряжение питания ячейки +5В поступает из блока, а остальные напряжения получим при помощи преобразователей напряжения.

Для получения напряжений +3,3В; +2,5В; +1,5В; +1,2В используем преобразователь MAX1623 фирмы Maxim. Максимальный выходной ток для этой микросхемы составляет 3А, погрешность выходного напряжения не превышает 1%, коэффициент преобразования 95%, ток питания 0,4 мА [14].

В стандартном включении выходное напряжение микросхемы составляет +3,3В. Для получения остальных значений напряжений необходимо использовать подключение вывода FB (Feedback, обратная связь) через соответствующие резисторные делители напряжения.

Для получения напряжения питания +1,05В используем микросхему PTH05060W фирмы Texas Instruments. Максимальный выходной ток для этой микросхемы составляет 10А, диапазон выходного напряжения от +0,8В до +3,6В [15]. Коэффициент преобразования напряжения для +1,05В составляет 86%, ток питания 0,4 мА.

1.3.2   Описание электрической принципиальной схемы

Принципиальная электрическая схема представлена в Приложении Б. На первом листе принципиальной электрической схемы представлены дешифратор (элемент D7); буфер данных, состоящий из двух элементов (D3, D4); буфер адреса, состоящий из двух элементов (D1, D2). С дешифратора через буфер флагов (D9) на Host процессор передаются сигналы FLG1 и FLG2, где FLG1 – флаг готовности всех процессоров, FLG2 – флаг неисправности процессоров. Буфер сигналов управления состоит из двух элементов (D5, D6), управляющие сигналы (RD, START2, HBR, WR, SEL1, SIGN1, SIGN2, RESET, SEL2, SOP2, SOP3, STOP) поступают на буфер от Host процессора. Буфер управляющих сигналов соединен с дешифратором, контроллером и процессорами.

Дешифратор осуществляет выдачу сигналов управления на процессоры (RDIN, WRIN), сигналы прерывания на процессоры (IRQ0, IRQ1, IRQ2, IRQ3), сигналы направления передачи буфера данных.

Буфер данных является двунаправленным и связывает Host процессор и ячейку вычислительного модуля, шина данных соединена с процессорами (D15-D22) через дешифратор. Сигналы на выводы микросхемы, определяющие направление передачи буфера данных (M, ), вырабатываются  дешифратором, в зависимости от значений сигналов HBG (разрешение передачи данных по шине), SEL1IN (сигнал обращения Host процессора ко всем ячейкам вычислительного модуля блока цифровой обработки сигналов), RDINT (сигнал чтения).

Однонаправленная шина адреса соединена с несколькими элементами ячейки: с дешифратором, с контроллером (D14), с процессорами.

Все информационные сигналы буферов данных и адреса поступают с разъема X1.

На втором листе принципиальной электрической схемы представлен контроллер, приемник высокоскоростной дифференциальной линии (D12), микросхема ПЗУ (D10), а также буферный элемент (D13).

Устройство флэш-памяти предназначено для хранения программ контроллера. Загрузка информации в микросхему ПЗУ осуществляется через разъем X5.

Информация с ячейки входного коммутатора поступает на контроллер через буферный элемент с разъема X1. Временная диаграмма входной информации представлена на рисунке 1.4.

Рисунок 1.4 – Временная диаграмма входной информации

Где CLK – тактовая частота.

В зависимости от работы блока цифровой обработки сигналов, информация по-разному распределяется между процессорами. Распределение информации между процессорами в общем случае представлено на рисунке 1.5.

Рисунок 1.5 – Распределение информации между процессорами в общем случае

Где LxDAT – данные, передаваемые x-процессору;

      LCLKx – тактовая частота передачи данных x-процессору.

Перед началом работы контроллера происходит его программирование. Программирование контроллера осуществляет Host процессор. Диаграмма программирования контроллера представлена на рисунке 1.6.

Рисунок 1.6 – Диаграмма программирования контроллера

В период времени между импульсами SIGN1 и признаком SIGN2 происходит программирование контроллера. На шине AD00..AD02 Host процессор выставляет адрес, на шине DI00..DI09 выставляет данные. Признак SIGN2 запускает контроллер на работу. Каждый раз между импульсом SIGN1 и признаком SIGN2 происходит программирование.

В каждом слове, поступающем от АЦП через входной коммутатор, десять разрядов. Из них восемь разрядов – информационные, а два – служебные.

1-е слово содержит 31-24 разряды 32-х разрядного слова;

2-е слово содержит 23-16 разряды 32 разрядного слова;

3-е слово содержит 15-8 разряды 32-х разрядного слова;

4-е слово содержит 7-0 разряды 32-х разрядного слова.

Первое слово кроме информационных разрядов должно содержать в   9-м разряде признак старшего байта (единица в 9-м разряде). Признак SIGN2 поступает на контроллер в составе информации от входного коммутатора, он может прийти в десятом разряде любого слова. Контроллер должен его выделить и начать работать, т.е. выдать на процессоры информационные разряды по 4-х разрядной шине в соответствующий момент времени.

Таким образом, получив от внешнего коммутатора одно 32-х разрядное слово в виде четырех штук 8-ми разрядных слов, контроллер выдает на процессор восемь штук 4-х разрядных слов. Сигналы на процессоры выдаются уровнями LVDS.

Для организации работы контроллера, на его вход приходит частота с приемника высокоскоростной дифференциальной линии. После включения питания на вход контроллера поступает сигнал сброса (RESETIN). На вход контроллера все сигналы поступают уровнями ТТЛ (КМОП).

На 3-6 листах принципиальной электрической схемы представлены восемь процессорных элементов.

Выбор рабочей частоты процессоров CCLK (core clock) определяется подключением выводов SCLKRAT(2-0) в соответствии с таблицей 1.4.

Таблица 1.4 – Определение множителя частоты CCLK процессора

SCLKRAT(2-0)

Множитель n

000

001

010

011

100

101

110

111

4

5

6

7

8

10

12

reserved

Рабочая частота процессора равна произведение выбранного множителя на величину подключаемой входной системной частоты SCLK, т.е. n × SCLK. В данном дипломном проекте выбрана рабочая частота процессора равная 250 МГц, т.е. комбинация 001 на выводах SCLKRAT при частоте SCLK равной 50 МГц.

Данные для обработки с контроллера поступают на Link-порты процессоров. Link-порты обеспечивают возможность загрузки и передачи в процессор данных для обработки на фоне решения процессором других задач. Каждый из 4-х дуплексных Link-портов имеет 4 передающих и 4 приемных каналов. Рассмотрим сигналы управления Link-портом.

Для передатчика:

- выводы CLKOP и CLKON – выходы тактового сигнала;

- вывод ACKI – вход сигнала установления связи за контролем потока данных;

- выводы DO3-0 – шина выходных данных.

Для приемника:

- выводы CLKINP и CLKINN – входы принимающего тактового сигнала;

- вывод ACKO – выход сигнала установления связи за контролем потока данных;

- выводы DI3-0 – шина входных данных.

Результат предварительной обработки данных процессорами поступает с Link-портов 1, 2, 3 первого процессора на разъем X2.

Сигнал RESETIN – сигнал сброса, устанавливает процессор в начальное состояние, подается на вывод .

Вывод  - вход сигнала сброса по включению питания для внутренней динамической памяти. Вывод  необходимо подключить к выводу  .

Выводы  - входы сигналов прерываний. Если на процессор приходит один из сигналов прерываний, то он начинает его отработку.

Выводы FLAG3-0 – выводы сигналов FLAG (флаг). Сигнал с вывода FLAG0 – сигнал готовности процессора, сигнал с вывода FLAG1 – сигнал неисправности процессора. Эти сигналы поступают на дешифратор. Выводы FLAG2-3 сконфигурированы для программных условий процессора.

Выводы ID2-0 – определяют ID (номер) каждого процессора в многопроцессорной системе. Номера процессоров являются неизменными, и присваиваются каждому процессору на этапе проектирования устройства.

Выводы TCK, TMS, TDI, TDO, ,  – выводы сигналов JTAG интерфейса, необходимые для отладки программы работы процессора. Вывод TCK – вход тестового тактового сигнала, поступающего с формирователя тестовых тактовых импульсов (D29). Вывод TMS – вход сигнала выбора режима тестирования, вывод  - вход сигнала тестового сброса, на вывод TDI поступают входные тестовые данные. Сигналы на эти выводы поступают с буфера JTAG (D30). С вывода TDO передаются выходные тестовые данные, с вывода  – сигнал эмуляции. Сигналы с выводов TDO,  поступают на буфер JTAG.

Выводы  – выводы, необходимые процессорам в многопроцессорной системе для запроса доступа к шине и владению ей. Каждый процессор запускает свою собственную -линию (соответствующую значению его ID2-0 входам) и контролирует все другие. По сигналу  определяется, какой из восьми процессоров имеет доступ к шине данных.

Вывод  - вход сигнала блокировки. Выставляется Host процессором при возникновении блокировки (безвыходного положения). Ситуация может случаться, когда Host процессор и сигнальный процессор пытаются считать информацию одновременно из одной шины. В таком случае Host процессор подает сигнал на вывод , тем самым принуждая сигнальный процессор освободить шину до завершения невыполненной передачи данных. В данном дипломном проекте сигнал на вывод  подается не с Host процессора, высокий уровень сигнала на выводе реализован  схемотехнически.

Выводы  - входы сигнала запроса прямого доступа к памяти. Высокий уровень сигнала на выводах , также как и на выводе  реализован схемотехнически. Тем самым разрешая Host процессору прямой доступ к памяти сигнального процессора по инициализированным каналам.

Сигнал HBRIN – сигнал запроса Host процессора для работы с памятью процессора (для процессора), или запрос на передачу данных (для шинных приемо-передатчиков). Сигнал HBG – ответ на запрос.

Выводы  и  – соответственно входы сигналов чтения и записи. По сигналу чтения происходит считывание информации из памяти процессора, по сигналу записи происходит запись информации в память процессора.

Вывод ACK – вход/выход сигнала подтверждения приема информации. Процессор может убрать сигнал на выводе ACK, добавляя в состояния ожидания запросы доступа к чтению и записи своей внутренней памяти.

Все не используемые выводы процессоров соединены по рекомендуемым фирмой-производителем Analog Devices схемам.

На седьмом листе принципиальной электрической схемы представлены преобразователи напряжения (D24, D25, D26, D31, D32, D33), источник тактовой частоты (D23), формирователь тактовых импульсов (D27), а также микросхемы для возможности программирования и отладки программы работы процессора: буфер JTAG (D30) и формирователь тестовых тактовых импульсов (D29).

Возможность отладки рабочих программ реализована с помощью JTAG интерфейса. Подключение процессоров к внешнему компьютеру по  JTAG интерфейсу осуществляется через стандартный 14-ти контактный разъем X6, представленный на рисунке 1.7.

Рисунок 1.7 – 14-ти контактный JTAG разъем

Выводы разъем X6 соединены с соответствующими выводами процессоров через буфер JTAG.

Формирователь тактовых импульсов обеспечивает тактирование процессоров и дешифратора.

Рассмотрим схемы преобразователей напряжения.

Напряжения питания +3,3В, +2,5В, +1,5В, +1,2В формируются на микросхемах MAX1623. При стандартной схеме включения, которая представлена на рисунке 1.8, выходное напряжение равно +3,3В.

Рисунок 1.8 – Стандартная схема включения микросхемы MAX1623

Для получения необходимого выходного напряжения необходимо организовать обратную связь через делитель напряжения. Обратная связь подключается к выводу FB микросхемы. Схема включения микросхемы с обратной связью представлена на рисунке 1.9.

Рисунок 1.9 – Схема включения микросхемы MAX1623 с обратной связью

В соответствие с документацией на микросхему для каждого номинала выходного напряжения выбираем значения сопротивлений Rs1 и Rs2, в данном случае R193 = 909 Ом и R194 = 10кОм.

Напряжение питания +1,05В формируется на микросхемах PTH05060W. Микросхема преобразует напряжение +5В в  напряжение от +0,8В до +3,6В, в зависимости от номинала резистора Rset в стандартной схеме включения микросхемы, которая представлена на рисунке 1.10.

Рисунок 1.10 – Стандартная схема включения микросхемы PTH05060W

На рисунке 1.10 Rset = R182 + R185.

В соответствие с документацией на микросхему рассчитаем Rset для выходного напряжения +1,05В:

Таким образом:

На последнем листе принципиальной электрической схемы расположены развязывающие конденсаторы для каждого напряжения питания.


1.4   Расчет тока потребления ФЯ вычислительного модуля

Для того,  чтобы рассчитать ток потребления ФЯ вычислительного модуля необходимо, для каждого номинала питания (VCC; +3,3В; +2,5В; +1,5В; +1,2В; +1,05) просуммировать потребляемый ток по всем элементам. Далее необходимо учесть коэффициент преобразования напряжения для каждого номинала относительно VCC (+5В). Таким образом, потребляемый ток ФЯ вычислительного модуля рассчитывается по формуле:

где: IpowΣx – суммарный потребляемый ток для каждого номинала питания;

      ηx – коэффициент преобразования для каждого номинала питания.

Суммарный потребляемый ток для каждого номинала питания равняется:

где: ni – количество микросхем с одинаковым значением тока потребления;

      Ii – ток, потребляемый i-й микросхемой.

Согласно перечню элементов, представленному в Приложении В, следует распределить микросхемы по группам с соответствующими номиналами напряжений.

  •  VCC: D24, D25, D26, D31, D32, D33;

  •  +3,3В: D1, D2, D3, D4, D5, D6, D7, D9, D10, D12, D13, D14, D23, D27, D29, D30;

  •  +2,5В: D14, D15-D22;

  •  +1,5В: D15-D22;

  •  +1,2В: D14;

  •  +1,05В: D1-D5, D15-D22.

Таким образом, потребляемый ток ФЯ вычислительного модуля равен:

Определим потребляемую мощность ФЯ по формуле:

где: I – потребляемый ток ФЯ;

      U – напряжение питания ФЯ.

Таким образом:


1.5   Разработка программного обеспечения дешифратора

Для разработки проекта ПЛИС дешифратора в данном дипломном проекте используется САПР MAX+plus II фирмы Altera.

Графический файл проекта представлен на рисунках 1.11а, 1.11б, 1.11в, 1.11г.

На рисунке 1.11а изображен элемент DCVM1, все сигнальные входы микросхемы, а также выходные сигналы микросхемы.

Вход input[4..1] представляет собой разряды шины адреса AD26…AD29 процессора. Входы csb, csh, hbg, rdhost, wrhost являются приемниками управляющих сигналов SEL2IN, SEL1IN, HBG, RDINT, WRINT соответственно. Сигнал SEL2IN – сигнал обращения Host процессора к ячейке вычислительного модуля, сигнал SEL1IN - сигнал обращения Host процессора ко всем ячейкам вычислительного модуля блока цифровой обработки сигналов, сигнал HBG - разрешение передачи данных по шине (ответ на HBR), сигнал RDINT - сигнал чтения информации из процессора, сигнал WRINT – сигнал записи информации в память процессора. Входы sop2, sop3, stop, signal1, signal2 необходимы для формирования сигналов прерывания для процессора. Входы fl01…fl08 являются входами сигналов готовности процессоров, входы fl11…fl18 – входы сигналов неисправности процессоров, вход flidc – вход сигнала от Host процессора. Вход 100m – вход сигнала тактовой частоты, входы start и reset являются приемниками управляющих сигналов START и RESETIN соответственно.


Рисунок 1.11г – Графический файл проекта

Выход cskpi – выход сигнала обращения к контроллеру с дешифратора. Выход mdan – выход сигнала управления шиной данных. Сигнал поступает на вывод DIR микросхем буфера данных, в зависимости от уровня сигнала, буфер пропускает данные либо от Host процессора на ячейку, либо наоборот. Таблица истинности микросхемы представлена в таблице 1.1. Выходы irq0…irq3 – выходы сигналов прерывания для процессоров. Выходы fl0, fl1 – выходы сигналов готовности и неисправности для Host процессора соответственно, выход fl2 – выход сигнала начала работы для процессоров.

На рисунке 1.11б представлена реализация двунаправленной шины данных.

На рисунках 1.11в и 1.11г представлены схемы формирования сигналов чтения/записи для процессоров (rdin/wrin), а также флага fl3.  

Элемент DCVM1 представляет собой макромодель, функционал которой реализован на языке VHDL. Текст программы представлен в Приложении Г.

Программа представляет собой процессы формирования выходных сигналов в зависимости от уровня входных сигналов.

В начале программы происходит подключение всех необходимых библиотек, а также описание входных и выходных портов. Далее, непосредственно, следует описание процессов формирования выходных сигналов.

Блок-схема алгоритма программы макромодели DCVM1, представлена на рисунке 1.12.


Рисунок 1.12 – Блок-схема алгоритма программы макромодели DCVM1

Процесс i1 формирует сигналы обращения к контроллеру в зависимости от сигналов на входах input[4…1], а также от сигналов обращения Host процессора ко всем ячейкам вычислительного модуля блока цифровой обработки сигналов или к определенной ячейке в частности.

В зависимости от управляющих сигналов SOP2, STOP, SOP3, SIGN1 и SIGN2, приходящих на дешифратор, в процессе i2 формируются сигналы прерываний для процессоров IRQ0, IRQ1, IRQ2 и IRQ3.

В процессах i3, i4, i5 формируются сигналы флагов FL0, FL1,FL2. Флаг FL0 – флаг готовности процессоров, он будет выставлен только в том случае, если будут выставлены все флаги FL01…FL08 на процессорах, что соответствует их готовности. Флаг FL1 – флаг неисправности процессоров, он будет выставлен в том случае, если выставлен, хотя бы один из флагов неисправности FL11…FL18 на процессорах.

В процессе m1 формируется сигнал управления шиной данных. Управление шиной данных происходит двумя сигналами – HBG и MDAN, которые поступают на выводы  и DIR буфера данных. Если Host процессор обращается к ячейкам вычислительного модуля устройства цифровой обработки сигналов и разрешена передача данных по шине, а также сигнал RDINT (вывод rdhost) равен нулю, т.е. происходит передача данных от ячейки к Host процессору, то сигнал MDAN равен единице. При передаче данных от ячейки к Host процессору сигнал MDAN равен нулю.

Для моделирования работы дешифратора используем программный модуль MAX+plus II Simulator (симулятор). Симулятор MAX+plus II проверяет логические операции и внутренние временные соотношения проекта, позволяет смоделировать работу проекта перед тем, как запрограммировать его в микросхему [16]. Временные диаграммы проекта представлены на рисунке 1.13.



1.6   Разработка программы для тестирования устройства

Программа для тестирования устройства должна выполнять проверку отработки всех прерываний процессором, а также выполнять проверку передачи информации по Link-порту. Блок-схема алгоритма тестовой программы представлена на рисунке 1.14.

Сначала происходит инициализация работы процессора: устанавливается адрес возврата из прерывания, разрешается кэш-память в каждом блоке памяти, устанавливается рабочая частота. Далее необходимо установить вектора прерываний: IRQ3, IRQ2, IRQ1, IRQ0. Для того чтобы программа имела неограниченный доступ ко всем процессорным ресурсам, необходимо установить режим супервизора процессора.

Перед тем как начать проверку отработки прерываний процессором, необходимо произвести конфигурацию флагов FLAG0 и FLAG1 как выходных, где FLAG0 – флаг готовности процессора, FLAG1 – флаг неисправности; флаги FLAG2 и FLAG3 – входы сигналов START и SIGN1/SIGN2 соответственно.

Далее начинается работа по подготовке процессора к отработке прерываний – производится установка прерываний: разрешается IRQ3 (SIGN1/SIGN2) и выставляется запрет IRQ2 (SOP3), IRQ1 (STOP), IRQ0 (SOP2); производится установка флагов: FLAG0=0, FLAG1=0. Также необходимо провести инициализацию Link-порта 0 на прием информации. После чего процессор устанавливается в режим ожидания прерывания IRQ3.

По приходу на процессор сигнала прерывания IRQ3, начинается его обработка, осуществляется сброс всех прерываний. Далее производится установка прерываний – разрешается IRQ0, а также анализ флага FLAG3. По значению сигнала FLAG3 определяется, какой сигнал пришел на процессор - SIGN1 или SIGN2. Если FLAG3=0, то на процессор пришел сигнал SIGN1 – происходит установка флагов: FLAG0=1, FLAG1=0.


Рисунок 1.14 – Блок-схема алгоритма тестовой программы (начало)

 

Рисунок 1.14 – Блок-схема алгоритма тестовой программы (окончание)

Если FLAG3=1, то на процессор, соответственно, пришел сигнал SIGN2 – происходит установка флагов: FLAG0=0; разрешается передача информации по Link-порту 0; производится установка прерываний – разрешается IRQ2 и выставляется запрет IRQ3, IRQ0.

После обработки IRQ3 начинается обработка прерывания IRQ0, осуществляется сброс всех прерываний. Далее производится установка прерываний – разрешается IRQ2 и выставляется запрет IRQ3, IRQ0, а также установка флагов: FLAG0=1, FLAG1=0. После этого выполняется проверка слова, записавшегося по Link0. Если слово записалось правильно – происходит установка флагов: FLAG0=0.

Далее процессор переходит к отработке прерывания IRQ2, осуществляется сброс всех прерываний. Производится установка прерываний – разрешается IRQ1 и выставляется запрет IRQ2, а также установка флагов: FLAG0=0, FLAG1=0. После чего процессор устанавливается в режим ожидания прерывания IRQ1.

По приходу на процессор сигнала прерывания IRQ1, начинается его обработка, осуществляется сброс всех прерываний. Далее производится установка прерываний – выставляется запрет IRQ1, а также установка флагов: FLAG0=1, FLAG1=1. Необходимо провести проверку записи информации в блоки памяти процессора. Карта памяти процессора ADSP-TS201S представлена на рисунке 1.15. Для проверки записи информации выбираются два адреса, соответствующие первому блоку памяти (INTERNAL MEMORY BLOCK 0), и по ним происходит запись. Далее адреса изменяются на адреса следующих блоков памяти, и по каждому из них происходит запись информации. После того, как произведена запись в последний блок памяти (INTERNAL MEMORY BLOCK 10), тестовая программа прекращает свою работу.

Программа для тестирования устройства TESTPRC.asm выполнена на языке Assembler в среде программирования VisualDSP++ 4.5.

Рисунок 1.15 – Карта памяти процессора ADSP-TS201S

Текст программы представлен в Приложении Д.


Выводы.

В данной главе дипломного проекта:

  •  разработаны функциональная и принципиальная схемы ячейки вычислительного модуля для блока цифровой обработки сигналов;
  •  выбрана соответствующая элементная база;
  •  выполнен расчет потребляемой мощности ФЯ;
  •  разработан проект ПЛИС дешифратора в САПР MAX+plus II фирмы Altera;
  •  разработана программа для тестирования устройства, которая выполняет проверку отработки всех прерываний процессором, а также выполняет проверку передачи информации по Link-порту. Программа выполнена в среде программирования VisualDSP++ 4.5 на языке Assembler.


2   Конструкторско-технологическая часть

2.1   Разработка ТЗ на конструкцию ФЯ вычислительного модуля для устройства цифровой обработки сигналов

Технические требования.

  1.  Состав изделия и требования к его конструкции.

Исходные данные:

Схема электрическая принципиальная (Э3) и перечень элементов (ПЭ3).

Базовая несущая конструкция:

- габаритные размеры: 233,35×160 мм;

- максимальная высота элементов на плате: не более 14,5 мм;

- места установки разъемов определяются БНК;

- установка планки: место установки планки определяется БНК, размер 261,8×20,2 мм или по ширине кратный 20,2 мм;

- тип разъемов: вилка 02 01 160 2101, розетка угловая 617C037SAJ221, вилка PLD-10, вилка PLD-14;

- число слоев МПП: не более 16;

- класс точности МПП: 4.

  1.  Требования к надежности.

Вероятность безотказной работы за 48 часов:  0,99.

Среднее время наработки до отказа должно быть не менее 6500 часов.

  1.  Требования к уровню унификации и стандартизации.

Использование БНК.

  1.  Требования к безопасности.

Изделие должно быть электробезопасно в условиях производства при эксплуатации и обслуживании.

  1.  Условия эксплуатации.
  •  группа аппаратуры 1.3;
  •  температура окружающей среды: минус 50 ºC ÷ 65 ºC;
  •  предельно высокая относительная влажность воздуха при температуре 25 ºC – (95±3)%;
  •  давление: от 1,2·104 Па (90 мм рт. ст.);
  •  частота вибраций: 5 ÷ 25 Гц;
  •  ускорение при ударе: 98,1 м/с2 (10 g);
  •  длительность ударного ускорения: 5 ÷ 10 мс;
  •  частота ударов: 40 ÷ 80 мин-1;
  •  ускорение вибраций: 19,62 м/с2 (2 g);
  •  принудительный обдув восходящим потоком воздуха t = 15ºC.


2.2   Конструкторско-технологический анализ элементной базы ФЯ ячейки вычислительного модуля

Микросхемы:

  •  74GTLPH1645DGGR
  •  количество: 5;
  •  площадь микросхемы: 6,2 × 14,1 = 87,42 мм2;
  •  корпус: TSSOP (пластмассовый малогабаритный типа SO);
  •  количество ножек: 56;
  •  температурный диапазон: от -40 до 85 ºC;
  •  SN74LVTH16245ADGG
  •  количество: 2;
  •  площадь микросхемы: 6,2 × 12,6 = 78,12 мм2;
  •  корпус: TSSOP;
  •  количество ножек: 48;
  •  температурный диапазон: от -40 до 85 ºC;
  •  EPM7256AEQI208-7
  •  количество: 1;
  •  площадь микросхемы: 30,6 × 30,6 = 936,36 мм2;
  •  корпус: PQFP (пластмассовый корпус, ножки которого расположены по всем 4-м сторонам);
  •  количество ножек: 208;
  •  температурный диапазон: от -40 до 85 ºC;
  •  74LVT244MTC
  •  количество: 1;
  •  площадь микросхемы: 8,13 × 13,72 = 111,54 мм2;
  •  корпус: Ceramic Flatpacks (W) (керамический плоский корпус с двухрядным расположением выводов);
  •  количество ножек: 20;
  •  температурный диапазон: от -40 до 85 ºC;
  •  EPCS16SI8
  •  количество: 1;
  •  площадь микросхемы: 4,9 × 3,9 = 19,11 мм2;
  •  корпус: 8-SOIC;
  •  количество ножек: 8;
  •  температурный диапазон: от -40 до 85 ºC;
  •  SN65LVDS2DBV
  •  количество: 1;
  •  площадь микросхемы: 3 × 1,7 = 5,1 мм2;
  •  корпус: SOT23-5;
  •  количество ножек: 5;
  •  температурный диапазон: от -40 до 85 ºC;
  •  EP2C15AF484C6
  •  количество: 1;
  •  площадь микросхемы: 23 × 23 = 529 мм2;
  •  корпус: BGA;
  •  количество ножек: 484;
  •  температурный диапазон: от -40 до 85 ºC;
  •  ADSP-TS201SABP-050
  •  количество: 8;
  •  площадь микросхемы: 25 × 25 = 625 мм2;
  •  корпус: BGA;
  •  количество ножек: 576;
  •  температурный диапазон: от -40 до 85 ºC;
  •  GXO-7531/AIN-100.0 MHz
  •  количество: 1;
  •  площадь микросхемы: 5,2 × 7,2 = 37,44 мм2;
  •  корпус: керамический корпус с металлической крышкой;
  •  количество ножек: 4;
  •  температурный диапазон: от -40 до 85 ºC;
  •  MAX1623EAP
  •  количество: 4;
  •  площадь микросхемы: 5,38 × 7,33 = 39,4 мм2;
  •  корпус: SSOP;
  •  количество ножек: 20;
  •  температурный диапазон: от -40 до 85 ºC;
  •  PTH05060WAH
  •  количество: 2;
  •  площадь микросхемы: 26,29 × 16,76 = 440,62 мм2;
  •  корпус: EUW (R-PDSS-T10);
  •  количество ножек: 10;
  •  температурный диапазон: от -40 до 85 ºC;
  •  IDT74FCT3807EPYI
  •  количество: 1;
  •  площадь микросхемы: 5,38 × 7,33 = 39,4 мм2;
  •  корпус: SSOP;
  •  количество ножек: 20;
  •  температурный диапазон: от -40 до 85 ºC;
  •  IDT49FCT3805EPYI
  •  количество: 1;
  •  площадь микросхемы: 5,38 × 7,33 = 39,4 мм2;
  •  корпус: SSOP;
  •  количество ножек: 20;
  •  температурный диапазон: от -40 до 85 ºC;
  •  74AVC16244DGG
  •  количество: 1;
  •  площадь микросхемы: 6,2 × 12,6 = 78,12 мм2;
  •  корпус: SSOP;
  •  количество ножек: 48;
  •  температурный диапазон: от -40 до 85 ºC;

Резисторные сборки:

  •  EXB28V102JX
  •  количество: 1;
  •  площадь: 2 × 1 = 2 мм2;
  •  количество ножек: 8;
  •  выводы/корпус: SMD;
  •  температурный диапазон: от -55 до 125 ºC;
  •  EXB2HV103J
  •  количество: 1;
  •  площадь: 3,8 × 1,6 = 6,08 мм2;
  •  количество ножек: 16;
  •  выводы/корпус: SMD;
  •  температурный диапазон: от -55 до 125 ºC;

Разъемы:

  •  Розетка угловая 617С037SAJ221 (77SDC37SA4CH4FC309)
  •  количество: 1;
  •  площадь, занимаемая на плате: 69,4 × 12,3 = 853,62 мм2;
  •  количество контактов: 37;
  •  номинальный ток: 5А;
  •  температурный диапазон: от -55 до 105 ºC;
  •  Вилка 02 01 160 2101
  •  количество: 2;
  •  площадь, занимаемая на плате: 1354 мм2;
  •  количество контактов: 160;
  •  номинальный ток: 5А;
  •  температурный диапазон: от -55 до 105 ºC;

  •  Вилка PLD-10
  •  количество: 2;
  •  площадь, занимаемая на плате: 12,7 × 5,08 = 64,52 мм2;
  •  количество контактов: 10;
  •  номинальный ток: 3А;
  •  температурный диапазон: от -55 до 140 ºC;
  •  Вилка PLD-14
  •  количество: 1;
  •  площадь, занимаемая на плате: 17,78 × 5,08 = 90,32 мм2;
  •  количество контактов: 14;
  •  номинальный ток: 3А;
  •  температурный диапазон: от -55 до 140 ºC;

Резисторы:

У всех резисторов Р1-12-0,125 одинаковый корпус SMD 0805:

  •  количество элементов: 133;
  •  площадь: 2 × 1,25 = 2,5 мм2;
  •  температурный диапазон: от -55 до 125 ºC;

Ферритовые бусинки:

  •  BDS3/3/4.6-4S2
  •  количество: 8;
  •  площадь: 4,6 × 3 = 13,8 мм2;
  •  выводы/корпус: SMD;
  •  температурный диапазон: от -55 до 125 ºC;

Катушки индуктивности:

  •  SDR0805-4R7M
  •  количество: 4;
  •  площадь: 3,14 × 3,92 = 47,78 мм2;
  •  индуктивность: 4.7мкГн;
  •  выводы/корпус: SDR 0805;
  •  температурный диапазон: от -40 до 105 ºC;

Конденсаторы:

  •  Корпус SMD 0805
  •  количество: 292;
  •  площадь: 2 × 1,25 = 2,5 мм2;
  •  температурный диапазон: от -55 до 125 ºC;
  •  Корпус SMD 1206
  •  количество: 6;
  •  площадь: 3,2 × 1,6 = 5,12 мм2;
  •  температурный диапазон: от -55 до 125 ºC;
  •  Корпус SMD 7343 TANTALUM
  •  количество: 55;
  •  площадь: 7,3 × 4,3 = 31,39 мм2;
  •  температурный диапазон: от -55 до 125 ºC;
  •  Корпус SMD 3216 TANTALUM
  •  количество: 4;
  •  площадь: 3,2 × 1,6 = 5,12 мм2;
  •  температурный диапазон: от -55 до 125 ºC;
  •  Корпус SMD 0201
  •  количество: 62;
  •  площадь: 0,6 × 0,3 = 0,18 мм2;
  •  температурный диапазон: от -55 до 125 ºC;
  •  EEEFKJ101UAR 6.3 V-100 uF±20 %
  •  количество: 4;
  •  площадь: 3,14 × 2,52 = 19,63 мм2;
  •  выводы/корпус: SMD;
  •  температурный диапазон: от -55 до 105 ºC;
  •  EEEFK1C100AR 16 V-10 uF±20 %
  •  количество: 9;
  •  площадь: 3,14 × 22 = 12,56 мм2;
  •  выводы/корпус: SMD;
  •  температурный диапазон: от -55 до 125 ºC.


2.3   Выбор способа охлаждения ФЯ вычислительного модуля

Массогабаритные характеристики РЭС в значительной мере зависят от способа охлаждения, обеспечивающего нормальный тепловой режим изделия [17]. Поэтому выбор  системы охлаждения является одной из важнейших проектно-конструкторских задач.

При выборе системы охлаждения используются следующие исходные данные:

  •  тепловой поток P, Вт, рассеиваемый поверхностью теплообмена изделия;
  •  площадь поверхности теплообмена Sк, м2;
  •  допустимая рабочая температура наименее теплостойкого элемента tэл min, ºC;
  •  максимальная температура окружающей среды tс max, ºC;
  •  минимальное давление окружающей среды Hс min, мм рт. ст.

Значение теплового потока приближенно определяют через потребляемую мощность от источников питания мощность PП с учетом коэффициента полезного действия изделия η:

Т.к. ячейка вычислительного модуля цифровая, то η = 0. Тогда              P = 30,63 Вт.

Площадь поверхности теплообмена Sк = 2 × 0,23335 × 0,16 = 0,07467 м2; допустимая рабочая температура наименее теплостойкого элемента               tэл min = 85 ºC; максимальная температура окружающей среды tс max = 65ºC; минимальное давление окружающей среды Hс min = 90 мм рт. ст.

Найдем поверхностную плотность теплового потока и допустимый перегрев в конструкции:

где: kH – поправочный коэффициент на давление окружающей среды;

      H = 760 мм рт. ст. – нормальное давление.

Таким образом:

Значения POS и Δtдоп представляют собой координаты точки, положение которой на диаграмме (рисунок 2.1) определяет систему охлаждения конструкции.

Незаштрихованные зоны диаграммы относятся к следующим способам охлаждения: 1 – естественное воздушное; 3 – принудительное воздушное; 5 – принудительное жидкостное; 9 – принудительное испарительное.

Рисунок 2.1 – Диаграмма выбора способа охлаждения

Заштрихованным зонам соответствуют следующие способы охлаждения: 2 – естественное и принудительное воздушное; 4 – принудительное воздушное и жидкостное; 6 – принудительное жидкостное и естественное испарительное; 7 – принудительное жидкостное, принудительное и естественное испарительное; 8 – естественное и принудительное испарительное.

По диаграмме видно, что необходимо принудительное воздушное охлаждение.

Принудительное воздушное охлаждение обеспечивается в конструкции блока.


2.4   Выбор компоновочной схемы и несущих конструкций ФЯ вычислительного модуля

Выбор типа конструкции и компоновочного решения блока обуславливается объектом установки РЭС, видом и интенсивностью воздействия дестабилизирующих факторов.

Выбор компоновочной схемы блока проводится по результатам анализа возможных вариантов организации его внутренней структуры (рисунок 2.2).

Рисунок 2.2 – Вариант организации структуры компоновочной схемы

Необходимо обеспечить принудительное воздушное охлаждение восходящим потоком воздуха. Вариант I компоновочной схемы предпочтительней при набегающем воздушном потоке снизу. Также следует учесть конструкцию ФЯ. Исходя из этого выбираем I вариант конструкции блока.


2.5   Разработка конструкции ФЯ вычислительного модуля

2.5.1   Расчет площади печатной платы

Разработка конструкции на корпусных ИС состоит в определении площади и геометрических размеров печатной платы, проверке возможности размещения радиоэлементов на плате, разработке конструкции печатной платы и определении геометрических размеров ячейки.

Площадь печатной платы, необходимую для одностороннего размещения радиоэлементов, находят по формуле:

где: qs – коэффициент дезинтеграции площади;

      Sэл i – установочная площадь i-го радиоэлемента;

       n – число элементов.

где:  Sэл – площадь всех элементов на плате;   

Sмикросхем – площадь всех микросхем на плате;

Sрезистор – площадь всех резисторов на плате;

       Sконденсатор – площадь всех конденсаторов на плате;

Sиндукт – площадь всех катушек индуктивности на плате;

Sразъем – площадь всех разъемов на плате;

Sпроч – площадь всех остальных элементов на плате.

Т.к. площадь всех резисторов одинаковая:

Принимаем qs = 2, тогда:

Таким образом, выбираем размер платы 233,35×160 мм, заданный в ТЗ, т.к. он удовлетворяет расчетным данным (233,35 × 160 = 37336 мм2 > 31122,2 мм2).

2.5.2   Разработка конструкции печатной платы

Класс точности многослойной печатной платы – 4, соответственно:

- минимальная ширина проводников t, мм: 0,15 мм;

- минимальное расстояние между проводниками s, мм: 0,15 мм;

- гарантированная ширина пояска в наружном слое bН, мм: 0,05 мм;

- гарантированная ширина пояска во внутреннем слое bВ, мм: 0,03 мм;

- отношение диаметра металлизированного отверстия к толщине платы       kДТ = 0,25 мм.

Материал платы – двухсторонний стеклотекстолит FR-4. Толщину многослойной печатной платы выбираем 1,74 мм (число слоев: 16).

В результате выполнения конструкторско-технологических расчетов определяют геометрические размеры элементов проводящего рисунка и их взаимного расположения с учетом производственных погрешностей. Расчету подлежат диаметры монтажных и переходных отверстий, значения ширины проводника и расстояния между проводниками, диаметр контактной площадки.

Минимальный диаметр переходного отверстия:

где: kдт – отношение диаметра металлизированного отверстия к толщине платы;

       hпл – толщина печатной платы.

Примем диаметр переходного отверстия равный 0,9 мм.

Минимальный диаметр монтажного отверстия:

где: dв – диаметр вывода радиоэлемента, dв = 0,27 мм ;

       Δ = 0,2…0,6 мм – зазор между поверхностью вывода и поверхностью отверстия;

       hг = 0,02…0,06 мм – толщина гальванически осаждаемой меди;

       δд = 0,012 мм – погрешность диаметра отверстия.

Примем диаметр монтажного отверстия равный 0,9 мм.

Минимальный диаметр контактной площадки:

где: bн – гарантированная ширина пояска в наружном слое;

       δ0 = 0,07 мм – погрешность расположения отверстия;

       δкп = 0,15 мм – погрешность расположения контактной площадки;

       δфф = 0,06 мм – погрешность фотокопии и фотошаблона;

        hф – толщина фольги на диэлектрическом основании, hф = 0,035 мм для двухстороннего стеклотекстолита FR-4.


2.6   Расчет надежности по внезапным отказам ФЯ вычислительного модуля

Оценочный  расчет надежности заключается в определении показателей надежности функциональной ячейки по известным характеристикам надежности составляющих компонентов и условиям эксплуатации. Основными количественными характеристиками надежности являются вероятность безотказной работы (ВБР) РЭС в течение заданной наработки (0;t) (в данном случае рассчитывается ВБР для нерезервированных систем):

где t – время непрерывной работы изделия;

и среднее время наработки до отказа:

где: t – время непрерывной работы ячейки;

      λэ – эксплуатационное значение интенсивности отказов.

При составлении логической модели схемы безотказной работы предполагается, что отказы элементов независимы, а элементы могут находиться в одном из двух состояний: работоспособном и неработоспособном.

где: λэi – эксплуатационное значение интенсивности отказов i-го элемента, учитывающее внешние воздействия, влияние тепловых и электрических элементов;

      n – число элементов.

Расчет надежности проводим в программе «Автоматизированная система расчета надежности (АСРН)».

Исходные данные:

- расчет в режиме эксплуатации;

- группа аппаратуры: 1,3;

- температура окружающей среды, ºC: 55.

Данные расчета надежности представлены в таблице 2.1.

Таблица 2.1 – Расчет суммарной интенсивности отказов входящих модулей и ЭРЭ

Тип ЭРЭ

Количество

λб (бсг)

λэ, 1/ч

λэ × n, 1/ч

Интегральные микросхемы

74GTLPH1645DGGR

5

-

0,96×10-7

4,8×10-7

SN74LVTH16245ADGG

2

-

0,83×10-7

1,66Ч10-7

EPM7256AEQI208-7

1

-

0,4Ч10-6

0,4Ч10-6

74LVT244MTC

1

-

0,92Ч10-8

0,92Ч10-8

EPCS16SI8

1

-

3,04Ч10-9

3,04Ч10-9

SN65LVDS2DBV

1

-

1,97Ч10-8

1,97Ч10-8

EP2C15AF484C6

1

-

2,74Ч10-7

2,74Ч10-7

ADSP-TS201S

8

-

1,77Ч10-6

1,416Ч10-5

GXO-7531/AIN-100.0 MHz

1

-

0,94Ч10-8

0,94Ч10-8

MAX1623EAP

4

-

0,84Ч10-7

3,36Ч10-7

PTH05060WAH

2

-

0,39Ч10-7

7,8Ч10-8

IDT74FCT3807EPYI

1

-

0,38Ч10-7

0,38Ч10-7

IDT49FCT3805EPYI

1

-

0,38Ч10-7

0,38Ч10-7

74AVC16244DGG

1

-

0,85Ч10-7

0,85Ч10-7

Резисторы

EXB28V102JX

1

0,37×10-8

0,83×10-9

0,83×10-9

EXB2HV103JV

1

0,37×10-8

1,23×10-8

1,23×10-8

Р1-12-0,125-33 Ом

3

0,37×10-8

2,77×10-9

8,31×10-9

Р1-12-0,125-100 Ом

1

0,37×10-8

3,1×10-9

3,1×10-9

Р1-12-0,125-1 кОм

1

0,37×10-8

3,1×10-9

3,1×10-9

Р1-12-0,125-4,75 кОм

71

0,37×10-8

3,1×10-9

2,201×10-7

Р1-12-0,125-0,15 Ом

18

0,37×10-8

3,1×10-9

5,58×10-8

Р1-12-0,125-470 Ом

8

0,37×10-8

3,1×10-9

2,48×10-8

Р1-12-0,125-2 кОм

1

0,37×10-8

3,1×10-9

3,1×10-9

Р1-12-0,125-2,55 кОм

1

0,37×10-8

3,1×10-9

3,1×10-9

Р1-12-0,125-221 Ом

4

0,37×10-8

3,1×10-9

1,24×10-8

Продолжение таблицы 2.1

Тип ЭРЭ

Количество

λб (бсг)

λэ, 1/ч

λэ × n, 1/ч

Р1-12-0,125-274 Ом

4

0,37×10-8

3,1×10-9

1,24×10-8

Р1-12-0,125-10 Ом

4

0,37×10-8

2,77×10-9

1.108×10-8

Р1-12-0,125-22 кОм

2

0,37×10-8

3,1×10-9

6,2×10-9

Р1-12-0,125-10 кОм

6

0,37×10-8

2,77×10-9

1,662×10-8

Р1-12-0,125-7,5 кОм

2

0,37×10-8

3,1×10-9

6,2×10-9

Р1-12-0,125-110 кОм

4

0,37×10-8

3,1×10-9

1,24×10-8

Р1-12-0,125-900 Ом

1

0,37×10-8

2,77×10-9

2,77×10-9

Р1-12-0,125-3,6 кОм

1

0,37×10-8

2,77×10-9

2,77×10-9

Р1-12-0,125-13 кОм

1

0,37×10-8

2,77×10-9

2,77×10-9

Конденсаторы

SMD 0805-X7R 50 V-0.1 uF

91

2 ×10-9

0,54 ×10-8

4,91×10-7

SMD 0805-NP0 50 V-1000 pF

16

2 ×10-9

2,52 ×10-9

4,03×10-8

SMD 0805-X7R 50 V-1000 pF

64

2 ×10-9

2,25 ×10-9

1,44Ч10-7

SMD 0805-X7R 50 V-1 uF

13

2 Ч10-9

0,47 Ч10-8

6,11Ч10-8

SMD 1206-Y5V 16 V-10 uF

6

2 Ч10-9

0,52 Ч10-8

3,12Ч10-8

SMD 7343 TANTALUM-10 V-220 uF

4

0,5 Ч10-10

1,17 Ч10-10

4,68Ч10-10

SMD 3216 TANTALUM-16 V-4.7 uF

4

0,5 Ч10-10

0,48 Ч10-10

1,92Ч10-10

SMD 7343 TANTALUM-10 V-330 uF

8

0,5 Ч10-10

1,28 Ч10-10

1,02Ч10-9

SMD 0805-NP0 50 V-47 pF

4

2 Ч10-9

1,71 Ч10-9

6,84Ч10-9

SMD 7343 TANTALUM-16 V-100 uF

35

0,5 Ч10-10

0,97 Ч10-10

3,4Ч10-9

SMD 0805-X7R 50 V-0.01 uF

84

2 Ч10-9

2,77 Ч10-9

2,33Ч10-7

SMD 0201-X5R 10 V-0.01 uF

25

2 ×10-9

0,44 ×10-8

1,1×10-7

SMD 0201-X5R 16 V-1000 pF

26

2 ×10-9

2,52 ×10-9

6,55×10-8

Продолжение таблицы 2.1

Тип ЭРЭ

Количество

λб (бсг)

λэ, 1/ч

λэ × n, 1/ч

SMD 0805-Y5V 10 V-2.2 uF

10

2 ×10-9

0,71 ×10-8

7,1×10-8

EEEFKJ101UAR 6.3 V-100 uF

4

1,2 Ч10-10

2,28 Ч10-9

9,12Ч10-9

SMD 7343 TANTALUM-25 V-33 uF

4

0,5 Ч10-10

0,76 Ч10-10

3,04Ч10-10

SMD 0201-X5R 6.3 V-0.1 uF±10 %

11

2 Ч10-9

0,54 Ч10-8

5,94Ч10-8

EEEFK1C100AR 16 V-10 uF

9

1,2 Ч10-10

0,44 Ч10-9

3,96Ч10-9

SMD 0805-Y5V 10 V-4.7 uF

10

2 Ч10-9

0,62 Ч10-8

6,2Ч10-8

SMD 7343 TANTALUM-10 V-150 uF

4

0,5 Ч10-10

1,12 Ч10-10

4,48Ч10-10

Трансформаторы

BDS3/3/4.6-4S2

8

3 ×10-11

0,57 ×10-10

4,56×10-10

SDR0805-4R7M

4

3 ×10-11

0,57 ×10-10

2,28×10-10

Соединители

02 01 160 2101

2

0,46 ×10-7

0,61 ×10-6

1,22×10-6

Итого для эксплуатации

1,91 ×10-5

617B037SAJ221

1

0,46 ×10-7

0,61 ×10-6

0,61 ×10-6

PLD-10

2

0,46 ×10-7

0,61 ×10-6

1,22 ×10-6

PLD-14

1

0,46 ×10-7

0,61 ×10-6

0,61 ×10-6

Итого для контрольных элементов

2,44 ×10-6

Итого для модуля

2,15 ×10-5

λэ = 2,15 ×10-5 [1/ч] – эксплуатационное значение интенсивности отказов для всего модуля, учитывающее значения поправочных коэффициентов.

Следовательно, среднее время наработки до отказа:

Вероятность безотказной работы ячейки за 48 ч:

Таким образом, среднее время наработки функциональной ячейки до отказа соответствует требованиям технического задания:

T = 46511 часов > TТЗ = 6500 часов.


2.7   Расчет вибропрочности конструкции ФЯ вычислительного модуля

Целью расчета является оценка вибропрочности конструкции. Конструкция считается вибропрочной, если в ней отсутствуют механические резонансы, а допустимая виброперегрузка на резонансной частоте превышает перегрузку, указанную в техническом задании на изделие.

Отсутствие в конструкции механических резонансов характеризуется следующим соотношением частоты свободных колебаний f0 любого элемента конструкции и верхней частоты fв диапазона внешних вибрационных воздействий:

Допустимая величина вибрационной перегрузки рассчитывается по формулам:

где: XД – допустимая величина прогиба упругого элемента;

      VД – допустимая виброскорость;

       μ – коэффициент динамичности конструкции.

Оценка вибропрочности конструкции сводится к расчету частоты свободных колебаний f0 и допустимой величины виброперегрузки.

где: D – цилиндрическая жесткость конструкции;

      Кэрэ – коэффициент нагрузки со стороны ЭРЭ;

       α - коэффициент, зависящий от формы пластины и условий закрепления на сторонах.

Способ закрепления платы представлен на рисунке 2.3.

Рисунок 2.3 – Способ закрепления платы

где L и B – длина и ширина платы.

Для принятого закрепления платы: p = 1, q = 2,57, r = 5,14.

Цилиндрическая жесткость печатной платы определяется по формуле:

где: h – толщина печатной платы;

      E – модуль упругости материала платы [Н/м2];

      ε – коэффициент Пуассона.

E = 33×109 [Н/м2], ε = 0,279, h = 1,76 мм, таким образом:

Для расчета частоты воспользуемся формулой модели тонкой нагруженной пластины:

где m0распределена масса.

где ρ – плотность материала платы [кг/м3], для стеклотекстолита ρ = 2370.

Коэффициент нагрузки со стороны ЭРЭ:

Кэрэ = 0,7÷0,8.

Таким образом:

Поскольку условие f0 ≥ 2×fв выполняется, т.е. частота свободных колебаний f0 = 170,66 Гц превышает верхнюю границу диапазона частот внешних вибрационных воздействий fв = 25 Гц, то в разработанной конструкции обеспечивается отсутствие механических резонансов.

Рассчитаем допустимые величины вибрационных перегрузок на резонансной частоте конструкции.

Допустимая величина прогиба печатной платы определяется:

где: l – размер меньшей стороны платы, м;

      δдоп – допустимая стрела прогиба материала платы на базовой длине LБ = 1 м. Для стеклотекстолита с двухсторонним фольгированием δдоп = 11 мм/м2.

Допустимая виброскорость: VД = 800 мм/с = 0,8 м/с, коэффициент динамичности конструкции μ = 5 для ЭМ1.

Таким образом:

Из двух значений вибрационных перегрузок выбираем минимальное и сравниваем его со значением, приведенным в ТЗ:

nдоп = min(nx; nv) = min(6,524g; 17,383g) = 6,524g > nТЗ = 2g.

Таким образом, необходимое условие обеспечения вибропрочности выполняется для разработанной конструкции.


2.8   Оценка технологичности конструкции ФЯ вычислительного модуля

Анализ технологичности ФЯ вычислительного модуля по конструкторским показателям.

Радиоэлектронное средство технологично, если при производстве и эксплуатации (ремонте) его потребительские качества обеспечиваются при оптимальном (рациональном) расходовании привлекаемых ресурсов [18].

Для количественной оценки технологичности следует рассчитать показатели технологичности разрабатываемого изделия и сравнить их с нормативными показателями, представленными в таблице 2.2. Расчеты частных и балльных показателей также представлены в табл.2.2. Если Бi < 0, то балльный показатель приравниваем к нулю; в случае Бi > 5, то следует принять величину балльной оценки за 5 баллов.

где: Кнi – нормативный уровень показателя;

       ΔКi – эквивалент «одного балла», отражающий значимость данного показателя технологичности;

       Крi – расчетное значение частных показателей технологичности.

Приемлемым базовым уровнем технологичности является уровень в четыре балла.

1) Коэффициент стандартизации конструкции:

где: nОР.Д – количество оригинальных деталей;

      N – общее число ЭРЭ, микросхем и МСБ.

Бальный показатель:

2) Коэффициент унификации конструкции:

где nНАИМ – число наименований ЭРЭ, МС.

Бальный показатель:

3) Коэффициент использования МС и МСБ:

где nМС – количество микросхем.

Бальный показатель:

4) Коэффициент повторяемости компонентов.

где nтр – число типоразмеров компонентов.

Бальный показатель:

5) Коэффициент установочных размеров (шагов) ЭРЭ.

где nУ.Р. – количество установочных размеров ЭРЭ.

Бальный показатель:

6) Коэффициент повторяемости материалов.

где nм – количество марок материалов, применяемых для изготовления составных частей (деталей) конструкции.

Бальный показатель:

7) Коэффициент использования площади коммутационного устройства (ПП):

где SЗ.К. – площадь, занимаемая ЭРЭ;

      SК.У. – площадь коммутационного устройства.

Бальный показатель:

С учетом достигнутых балльных показателей рассчитаем среднебалльный показатель технологичности:

Таблица 2.2 – Таблица показателей технологичности изделия по конструкторским показателям

№ п/п

Конструкторские показатели, определяемые коэффициентами

Обозначе-ние показателя

Норматив-ное значение показателя

Эквивалент одного балла

Расчетный частный показатель

Балльный показатель

1

Стандартизации конструкции

0,85

0,2125

0,978

4,6

2

Унификации

0,7

0,175

0,987

5

3

Использования МС и МСБ

0,8

0,12

0,049

0

4

Повторяемости компонентов МС и МСБ

0,95

0,2

0,96

4,05

5

Установочных размеров

0,85

0,2125

0,96

4,52

6

Повторяемости материалов

0,7

1,175

0,714

4,08

7

Использования площади коммутационного устройства

0,6

0,1

0,42

2,2

Среднебалльный показатель равен 3,5. На его величину сильно влияние оказал коэффициент использования МС, который равен нулю в связи с тем, что в изделии много дискретных ЭРЭ.

Анализ технологичности ФЯ по производственным показателям произведем после проектирования технологического процесса монтажа ФЯ.

Спецификация к сборочному чертежу ФЯ приведена в Приложении Е.


2.9   Технологический процесс сборки и монтажа ФЯ вычислительного модуля

Конструкция ячейки вычислительного модуля представляет собой многослойную печатную плату, с двух сторон которой расположены элементы SMD и монтируемые в отверстия элементы.

Приведем описание каждого шага сборки и монтажа ФЯ.

  1.  Входной контроль печатной платы.

Визуальный входной контроль на отсутствие следующих дефектов изготовления печатных плат:

- механическое повреждение печатной платы - сколы по краю платы, повреждение паяльной маски и диэлектрика;

- монтажное отверстие заполнено припоем;

- монтажные отверстия заполнены паяльной маской, установка компонентов невозможна;

- смещение рисунка паяльной маски относительно размещения контактных площадок;

- переходные отверстия полностью не заполнены паяльной маской - потенциальные ловушки для остатков флюса при отмывке печатных узлов;

- чрезмерное утоньшение паяльной маски на контактных площадках переходных отверстий;

- образование пустот под паяльной маской, возможно ее отслоение в процессе последующих технологических операций;

- неудовлетворительное качество финишного покрытия или повреждение финишного покрытия контактной площадки;

- нарушение геометрии контактной площадки;

- дефектная металлизация контактной площадки переходного отверстия;

- дефект финишного покрытия (низкое качество лужения) — перемычка припоя в неположенном месте;

- перетрав проводников;

- разрыв проводников под паяльной маской;

- отслоение металлизации от контактной площадки;

- нарушение покрытия паяльной маски;

- вкрапление частиц металлизации;

- замыкание проводников.

  1.  Очистка ПП.

Обезжиривание печатной платы тампоном из бязи, смоченным в смеси этилового спирта и нефраса (бензина) в соотношении 1:1, непосредственно перед нанесением паяльной пасты.

  1.  Нанесение припойной пасты на верхнюю сторону ПП.

Автоматическое нанесение паяльной пасты марки MP218 (Sn5/Pb93/5Ag1.5) фирмы Multicore на контактные площадки печатных плат с верхней стороны методом трафаретной печати через металлический трафарет толщиной 100 мкм, принтером «AVX-400» фирмы SMTECH (Англия). Скорость трафаретной печати 40 мм/сек.

  1.  Размещение компонентов поверхностного монтажа на верхней стороне ПП.

Автоматическая установка компонентов поверхностного монтажа автоматом КЕ-2060 фирмы JUKI (Япония) на контактные площадки верхней стороны платы с нанесенной на них паяльной пастой согласно принципиальной электрической схеме и сборочному чертежу.

  1.  Визуальный контроль.

Контроль правильности установки компонентов на верхней стороне ПП.

  1.  Оплавление припоя.

Пайка выводов компонентов поверхностного монтажа оплавлением нанесенной на контактные площадки плат паяльной пасты, камерной печью ИК нагрева Ecosold 350 Superior. Печь имеет четыре зоны. Первые две зоны предназначены для предварительного нагрева платы и активации флюса паяльной пасты. Третья зона – зона пайки (оплавления пасты), четвертая – зона охлаждения. Время прохождения платы через зоны 1-4 составляет в среднем 5 минут.

  1.  Визуальный контроль.

После пайки компонентов осуществляется проверка по внешнему виду под микроскопом на отсутствие дефектов. При необходимости производится ремонт паяных соединений (подпайка).

  1.  Нанесение припойной пасты на нижнюю сторону ПП.

Автоматическое нанесение паяльной пасты марки MP218 (Sn5/Pb93/5Ag1.5) фирмы Multicore на контактные площадки печатных плат с верхней стороны методом трафаретной печати через металлический трафарет толщиной 100 мкм, принтером «AVX-400» фирмы SMTECH (Англия). Скорость трафаретной печати 40 мм/сек.

  1.  Размещение компонентов поверхностного монтажа на нижней стороне ПП.

Автоматическая установка компонентов автоматом КЕ-2060 фирмы JUKI (Япония) на контактные площадки нижней стороны платы согласно принципиальной электрической схеме и сборочному чертежу.

  1.  Визуальный контроль.

Контроль правильности установки компонентов на нижней стороне ПП.

  1.  Оплавление припоя.
  2.  Визуальный контроль.

После пайки компонентов осуществляется проверка по внешнему виду под микроскопом на отсутствие дефектов. При необходимости производится ремонт паяных соединений (подпайка).

  1.  Предварительная сборка.

Предварительная установка механических компонентов на верхнюю сторону ПП согласно принципиальной электрической схеме и сборочному чертежу.

  1.  Размещение компонентов для монтажа в отверстия.

Ручная установка компонентов для монтажа в отверстия на верхней стороне ПП согласно принципиальной электрической схеме и сборочному чертежу.

  1.  Пайка компонентов.

Пайка компонентов для монтажа в отверстия осуществляется устройством для пайки двойной волной припоя TOLO CT-3000N. Использовать припой X39B фирмы Multicore. В качестве флюса использовать флюс Hydro-X20 фирмы Multicore.

  1.  Промывка ПП.

Отмывка осуществляется струями жидкости (спрей-технология) в установке SMT-800 фирмы Aqueous Technologies. Водный растворов отмывочной жидкости Аквен-16, нагретый до 40-50ºС, распыляется через форсунки на отмываемую плату. Время струйной отмывки 5-15 минут. После этого из камеры насосом откачивается отмывочная жидкость и подается вода для ополаскивания. Время ополаскивания 5-15 минут. Финишное ополаскивание деионизованной водой в течение 2-5 минут и затем сушка.

  1.  Размещение компонентов для монтажа в отверстия.

Ручная установка компонентов для монтажа в отверстия на нижней стороне ПП согласно принципиальной электрической схеме и сборочному чертежу.

  1.  Пайка компонентов.

Пайка компонентов для монтажа в отверстия осуществляется устройством для пайки двойной волной припоя TOLO CT-3000N. Использовать припой X39B фирмы Multicore. В качестве флюса использовать флюс Hydro-X20 фирмы Multicore.

  1.  Промывка ПП.

Отмывка осуществляется струями жидкости (спрей-технология) в установке SMT-800 фирмы Aqueous Technologies. Водный растворов отмывочной жидкости Аквен-16, нагретый до 40-50ºС, распыляется через форсунки на отмываемую плату. Время струйной отмывки 5-15 минут. После этого из камеры насосом откачивается отмывочная жидкость и подается вода для ополаскивания. Время ополаскивания 5-15 минут. Финишное ополаскивание деионизованной водой в течение 2-5 минут и затем сушка.

  1.  Контроль сборки.

По окончании монтажа на обе стороны платы проводится контроль качества, включающий проверку под микроскопом правильности установки компонентов в соответствии с чертежом, качества паяных соединений, отсутствия загрязнений на платах, между выводами и под компонентами.

  •  Визуальный контроль.

Автоматизированный визуальный контроль сборочных ячеек осуществляется установкой 22FX фирмы Marantz.

  •  Рентгеновский контроль.

Рентгеновский контроль осуществляется на Технологическом оборудовании XBIM-130 фирмы Piergiacomi.

  1.  Тестирование.

Визуальный контроль, проверка функционирования.

Анализ технологичности ФЯ вычислительного модуля по производственным показателям.

Для объективной и разносторонней оценки спроектированного ТП необходимо произвести расчет количественных производственных показателей технологичности. Исходные данные для расчёта частных и балльных показателей, а также полученные результаты вычислений представлены в таблице 2.3.

1)   Коэффициент простоты изготовления изделия:

где: nП – количество подгоняемых или подбираемых ЭРЭ с целью обеспечения выходных параметров с требованиями ТУ;

         nР – число регулировочных элементов;

         N - общее количество ЭРЭ.

Балльный показатель:

2)   Коэффициент расширенных допусков:

где nР.Д. – количество ЭРЭ с допусками δ ≥ 10% от номинала;

Балльный показатель:

3)   Коэффициент простоты выполнения монтажных соединений:

где: nГ.В. – количество монтажных соединений, выполняемых с использованием отдельных перемычек монтажным проводом;

           nМ.С. – общее количество монтажных соединений, включая печатные проводники.

Т.к. nГ.В. = 0, то

Балльный показатель:

4)   Коэффициент ограничения числа видов сборочно-монтажных соединений:

где: nВ.С. – число видов сборочных и монтажных соединений с учетом конкретного способа их выполнения (винтовые, клееные, паяные, сварные и т.д.);

         nП.С. – число пар, соединяемых любым видом соединений конструктивных элементов изделия.

nВ.С. = 3; nП.С. много больше 1000, поэтому:

Балльный показатель:

5)   Коэффициент использования групповых методов обработки:

где: nГ.М. – число операций ТП, использующих групповые методы обработки;

       nОП – общее число операций.

Балльный показатель:

6)   Коэффициент автоматизации и механизации сборки и монтажа ЭРЭ:

где nА.С.М. – количество монтажных соединений, которые осуществляются автоматизированным или механизированным способом.

Т.к. все монтажные соединения осуществляются автоматизированным способом, за исключением нескольких механических соединений, осуществляемых вручную, тогда:

Балльный показатель:

7)   Коэффициент автоматизации и механизации операций регулировки и контроля электрических параметров изделия:

где: nА.Р.К. – количество операций регулировки и контроля, осуществляемых механизированным и автоматизированным способом;

        nК – общее число операций регулировки и контроля.

Балльный показатель:

8)   Коэффициент использования типовых технологических процессов:

где nТ.Т.П. – количество операций, выполняемых по типовым технологическим процессам.

Балльный показатель:

Таблица 2.3 – Таблица показателей технологичности изделия по производственным показателям

№ п/п

Производственные показатели, определяемые коэффициентами

Обозначе-ние показателя

Нормативное значение показателя

Эквивалент одного балла

Расчетный частный показатель

Балль-ный показа-тель

1

Простоты изготовления изделия

0,95

0,2

1

4,25

2

Расширенных допусков

0,9

0,3

0,67

3,23

3

Простоты выполнения монтажных соединений

0,6

0,15

1

5

4

Ограничения видов соединений

0,9

0,1

0,999

4,99

5

Использования групповых методов технологии

0,4

0,25

0,47

4,28

6

Автоматизации и механизации сборки и монтажа

0,87

0,3

1

4,43

7

Автоматизации и механизации регулировки и контроля

0,5

0,13

0,33

2,7

Продолжение таблицы 2.3

№ п/п

Производственные показатели, определяемые коэффициентами

Обозначе-ние показателя

Нормативное значение показателя

Эквивалент одного балла

Расчетный частный показатель

Балль-ный показа-тель

8

Применения типовых тех. процессов

0,6

0,15

0,47

3,13

С учетом достигнутых балльных показателей рассчитаем среднебалльный производственный показатель технологичности.

Т.к. среднебалльный производственный показатель технологичности равен четырем, то изделие технологично.


2.10 Разработка специальной технологической оснастки

Тестируемую ячейку подключают к стенду контроля через переходное устройство (ПУ) при помощи шлейфов. Стенд контроля представляет собой установку тестового контроля УТК-512. В состав УТК-512 входит ПЭВМ.

Изделие предназначено для контроля и диагностики цифровых устройств. Изделие обеспечивает:

-  контроль электрических уровней логических сигналов по параметру «0» или «1» и задержки распространения сигналов в цепях цифровых устройств;

- периферийное сканирование цифровых устройств по интерфейсу JTAG;

- в условиях мелкосерийного, серийного производства, а также позволяет производить разработку и отладку тест–программ на цифровые устройства.

Подключение ячейки к установке УТК-512 осуществляется по схеме контроля ячейки, приведенной на рисунке 2.4.

Для тестирования ячейки необходимо питающее напряжение +5В. В качестве источника электропитания «+5В» используется источник постоянного тока Б5-71. Также в стенд контроля входит осциллограф MSO7104B.


Рисунок 2.4 – Схема контроля ячейки

Технологическая инструкция по проверке ячейки вычислительного модуля.

1) Для проведения тестового контроля ячейки необходимо собрать схему контроля ячейки согласно рисунку 2.4. Все подключения производят при отключенном электропитании. Соединители каналов тестовой установки УТК-512 подключить к соединителям переходного устройства (ПУ) согласно таблице 2.4.

2) Источник питания постоянного тока Б5-71 подключить через контакты соединителя «XT1» переходного устройства (ПУ). Вставить контролируемую ячейку в переходное устройство через соединители «X1», «X2».

3) Запустить на ПЭВМ стенда контроля данные программы контроля на ячейку вычислительного модуля с помощью программы «ИПС Ястек».

4) Установить в программе параметры проверки согласно таблице 2.5.

Таблица 2.4 – Таблица подключения

Позиционное обозначение

соединителей тестовой установки

УТК-512

Позиционное обозначение
соединителей переходного устройства
ПУ

Х1

X1L

X5

X1R

X6

Х2

X2L

X7

X2R

X8

Х4

X4L

-

X4R

X12

Х5

X5L

X13

X5R

X14

Х6

X6L

X15

X6R

X16

Таблица 2.5 – Параметры проверки

Параметр

Значение

Частота

20 МНz

Уровень лог. 0

0,6 V

Уровень лог. 1

2,4 V

5) В программе «ИПС Ястек» выбрать режим «Осциллографирование» и задать начальный и конечный такты тестовой программы. Запустить режим «Осциллографирование».

6) Подать на переходное устройство напряжение (5,00±0,25) В с источника питания постоянного тока. Поданное напряжение 5В отрегулировать на источнике питания с помощью регулятора «U», по показаниям напряжения на вольтметре В7-40/5 между гнездами Х3:1 и X3:5 переходного устройства так, чтобы напряжение по показаниям вольтметра В7-40/5 между этими контактами было 5 В.

7) В программе «ИПС Ястек» выбрать режим «Прогон», а затем «Контроль», в результате начнется выполнение тестовой программы.

Ячейку считают выдержавшей испытания по тестовой программе, если для этой программы в среде «ИПС Ястек» получено сообщение «Выполнение программы завершено, изделие исправно».

8) Снять напряжение 5В с переходного устройства, для чего выключить источник питания постоянного тока Б5-71.


Выводы.

В данном разделе дипломного проекта:

  •  разработана конструкция ячейки вычислительного модуля для устройства цифровой обработки сигналов;
  •  для конструкции ФЯ выбраны способ охлаждения и компоновочная схема исходя из параметров ФЯ;
  •  выполнены расчеты надежности по внезапным отказам и вибропрочности конструкции, полученные значения этих параметров полностью удовлетворяют ТЗ;
  •  рассчитаны оценки технологичности конструкции ФЯ по конструкторским и производственным параметрам, по полученным значениям можно сделать вывод, что конструкция технологична;
  •  разработан технологический процесс сборки и монтажа ФЯ вычислительного модуля, а также специальная технологическая оснастка для ее контроля.


3   Экономическая часть

3.1   Обоснование целесообразности разработки ячейки вычислительного модуля

Необходимость разработки ячейки вычислительного модуля связана, прежде всего, с ужесточением требований к вычислительным комплексам и увеличением возлагаемых на них задач.

Для оценки целесообразности разработки необходимо провести сравнение по следующим функционально-техническим характеристикам:

  1.  количество функциональных ячеек в блоке (количество ФЯ, необходимых для решения задачи обработки сигналов);
  2.  использование современной элементной базы;
  3.  возможность перепрограммирования отдельных узлов ФЯ;
  4.  потребляемый ток;
  5.  возможность тестирования на УТК (универсальный технологический комплекс).

Данные сведены в таблицу 3.1.

Качество разрабатываемой ячейки вычислительного модуля по сравнению с существующим аналогом и целесообразность ее разработки определяется по результатам расчета и анализа индекса технического уровня проектируемого изделия.

Таблица 3.1 – Функционально-технические характеристики проектируемой техники

Функционально-технические характеристики

Единица измерения

Уровень функционально- технических  характеристик

Значимость характеристик качества изделия

Аналог

Проектируемая техника

  1.  Количество функциональных ячеек в блоке (количество ФЯ, необходимых для решения задачи обработки сигналов)

8

4

0,25

  1.  Использование современной элементной базы

нет

да

0,25

  1.  Возможность перепрограммиро-вания отдельных узлов ФЯ

нет

да

0,2

  1.  Потребляемый ток

А

не более 12

не более 7,5

0,2

  1.  Возможность тестирования на УТК (универсальный технологический комплекс)

нет

да

0,1

Индекс технического уровня проектируемого изделия рассчитывается по формуле [19]:

где: ,  - уровень i-й функционально-технической характеристики соответственно нового (проектируемого) и базового изделий;

        μi - значимость i-й функционально-технической характеристики качества изделия;

        n – количество рассматриваемых функционально-технических характеристик.

Технический уровень новых приборов и радиоэлектронных изделий должен быть увязан с долей влияния их как комплектующих изделий на конечный результат функционирования техники более высокого уровня иерархии через коэффициент КВ, величина которого колеблется в пределах КВ ≤ 1.

Тогда технический уровень проектируемых приборов и радиоэлектронных изделий будет:

Так как проектируемое устройство является аппаратурой специального назначения, то для него коэффициент КВ = 0,25.

Поскольку полученное значение больше 1, делаем вывод, что разработка ячейки вычислительного модуля целесообразна.


3.2   Определение трудоемкости и планирование разработки

Определение трудоемкости этапов разработки (в нашем случае ОКР) позволяет определить общую трудоемкость работ, необходимую для оценки затрат на разработку. Кроме того, это позволит рассчитать календарный план работы специалистов и определить время, необходимое на каждый этап разработки. Состав работ, их удельный вес приведен в таблице 3.2.

Календарное планирование проектно-конструкторских работ осуществляется по календарному плану. Этапы работ, их трудоемкость и удельный вес связаны с выполнением дипломного проекта и представлены в таблице 3.3.

Таблица 3.2 – Трудоемкость разработки новой техники

Номер этапа

Наименование этапов работ

Удельный вес, %

Трудоемкость этапа, чел-дн.

1

Разработка технического задания

11

6

2

Разработка функциональной схемы

25

14

3

Реализация контроллера и дешифратора на ПЛИС

20

12

4

Разработка принципиальной схемы

22

11

5

Написание тестовой программы

13

7

6

Оформление технической документации

9

5

Итого:

100

55

Производственный цикл каждого этапа рассчитывается по формуле:

где:  - трудоемкость этапа, чел-дни;

      tрд – продолжительность рабочего дня, час;

      q – количество работников, одновременно участвующих в выполнении работ, чел.

Пересчет производственного цикла в календарные дни осуществляется умножением на коэффициент 1,4.

Таблица 3.3 – Расчет календарного плана работ

Номер этапа

Наименование этапов

работ

Удельный вес, %

Трудоемкость этапа, чел-дн.

Кол-во исполни-телей

Длительность этапа,

календ. дни (календ. даты)

1

Разработка технического задания

11

6

1

9

(10.10-18.10)

2

Разработка функциональной схемы

25

14

1

20

(19.10-7.11)

3

Реализация контроллера и дешифратора на ПЛИС

20

11

1

15

(8.11-22.11)

4

Разработка принципиальной схемы

22

12

1

16

(23.11-8.12)

5

Написание тестовой программы

13

7

1

10

(9.12-18.12)

6

Оформление технической документации

9

5

1

7

(19.12-25.12)

Итого:

100

55

-

77

(10.10-25.12)

По результатам таблицы 3.3 построен график выполнения работ (см. рисунок 3.1).

Рисунок 3.1 – Календарный график выполнения работ


3.3   Определение затрат, себестоимости и цены ОКР

Определение затрат на проектирование новой техники начинается с определения затрат на оплату труда персонала. При этом зарплата персонала по стадиям (этапам) работ (Ззп∑) рассчитывается по формуле:

где:  - итоговая трудоемкость, чел.-дн.;

      τj – средняя дневная тарифная ставка оплаты работ, руб./дн.;

Средняя дневная тарифная ставка инженера определяется по формуле:

где  – месячный оклад инженера. При τ = 17000 руб. имеем:

Заработная плата персонала (разработчика):

Величина заработной платы корректируется на процент премиальных выплат, который составляет 20% (по данным преддипломной практики):

Отчисления на социальные нужды составляют 30,2% от заработной платы:

Стоимость материалов определена прямым счетом и представлена в таблице 3.4.

Таблица 3.4 – Стоимость материалов

№ п/п

Наименование материалов

Количество в натуральном

измерении

Общая стоимость, руб.

1

Многослойная ПП

255,85х160 мм2

12400

2

Припой ПОС 61

Катушка 100 г

330

3

Клей ВК-9

Тюбик 10 г

200

4

Лак УР-231

Банка 0,8 кг

240

Итого:

13170

Стоимость покупных комплектующих изделий определяется также прямым счетом и представлена в таблице 3.5. В таблице 3.6 обобщены все затраты на разработку.

Накладные и прочие расходы – по данным предприятия.

Удельные затраты на разработку изделия определяются по формуле:

где: ЗР – затраты на проектирование новой техники, руб.;

      N – объем проектируемой новой техники, шт.


Таблица 3.5 – Стоимость покупных комплектующих изделий

№ п/п

Наименование покупных комплектующих изделий

Количество в натуральном измерении

Цена за единицу, руб.

Общая стоимость, руб.

1.

Резисторы

Р1-12-0,125-33 Ом±5 %

3

0,15

0,45

2.

Резисторы

Р1-12-0,125-100 Ом±1 %

1

0,25

0,25

3.

Резисторы

Р1-12-0,125-1 кОм±1 %

1

0,35

0,35

4.

Резисторы

Р1-12-0,125-4,75 кОм±1 %

71

0,35

24,85

5.

Резисторы

Р1-12-0,125-0,15 Ом±5 %

18

0,2

3,6

6.

Резисторы

Р1-12-0,125-470 Ом±5 %

8

0,35

2,8

7.

Резисторы

Р1-12-0,125-2 кОм±1 %

1

0,35

0,35

8.

Резисторы

Р1-12-0,125-2,55 кОм±1 %

1

20,5

20,5

9.

Резисторы

Р1-12-0,125-221 Ом±1 %

4

0,2

0,8

10.

Резисторы

Р1-12-0,125-274 Ом±1 %

4

0,2

0,8

11.

Резисторы

Р1-12-0,125-10 Ом±2 %

4

0,35

1,4

12.

Резисторы

Р1-12-0,125-22,1 кОм±1 %

2

0,5

1

13.

Резисторы

Р1-12-0,125-10 кОм±1 %

6

1,1

6,6

14.

Резисторы

Р1-12-0,125-7,5 кОм±1 %

2

0,7

1,4

15.

Резисторы

Р1-12-0,125-110 кОм±1 %

4

0,35

1,4

16.

Резисторы

Р1-12-0,125-909 Ом±1 %

1

0,35

0,35

17.

Резисторы

Р1-12-0,125-3,65 кОм±1 %

1

0,35

0,35

18.

Резисторы

Р1-12-0,125-13 кОм±1 %

1

0.35

0,35

19.

Ферритовые бусинки

BDS3/3/4.6-4S2

8

3,5

28

Продолжение таблицы 3.5

№ п/п

Наименование покупных комплектующих изделий

Количество в натуральном измерении

Цена за единицу, руб.

Общая стоимость, руб.

20.

Катушки индуктивности

SDR0805-4R7M

4

10

40

21.

Конденсаторы

SMD 0805-X7R 50 V-0.1 uF±10 %

91

2,5

227,5

22.

Конденсаторы

SMD 0805-NP0 50 V-1000 pF±5 %

16

2

32

23.

Конденсаторы

SMD 0805-X7R 50 V-1000 pF±10 %

64

1

64

24.

Конденсаторы

SMD 0805-X7R 50 V-1 uF±10 %

13

12

156

25.

Конденсаторы

SMD 1206-Y5V 16 V-10 uF±20 %

6

10,5

63

26.

Конденсаторы

SMD 7343 TANTALUM-10 V-220 uF±20 %

4

100

400

27.

Конденсаторы

SMD 3216 TANTALUM-16 V-4.7 uF±20 %

4

1,5

6

28.

Конденсаторы

SMD 7343 TANTALUM-10 V-330 uF±20 %

8

28

224

29.

Конденсаторы

SMD 0805-NP0 50 V-47 pF±5 %

4

0,7

2,8

30.

Конденсаторы

SMD 7343 TANTALUM-16 V-100 uF±20 %

35

8

280

31.

Конденсаторы

SMD 0805-X7R 50 V-0.01 uF±10 %

84

0,4

33,6

32.

Конденсаторы

SMD 0201-X5R 10 V-0.01 uF±10 %

25

23

575

Продолжение таблицы 3.5

№ п/п

Наименование покупных комплектующих изделий

Количество в натуральном измерении

Цена за единицу, руб.

Общая стоимость, руб.

33.

Конденсаторы

SMD 0201-X5R 16 V-1000 pF±10 %

26

9

234

34.

Конденсаторы

SMD 0805-Y5V 10 V-2.2 uF±20 %

10

9

90

35.

Конденсаторы

EEEFKJ101UAR 6.3 V-100 uF±20 %

4

60

240

36.

Конденсаторы

SMD 7343 TANTALUM-25 V-33 uF±20 %

4

88

352

37.

Конденсаторы

SMD 0201-X5R 6.3 V-0.1 uF±10 %

11

17

187

38.

Конденсаторы

EEEFK1C100AR 16 V-10 uF±20 %

9

6

54

39.

Конденсаторы

SMD 0805-Y5V 10 V-4.7 uF±20 %

10

3

30

40.

Конденсаторы

SMD 7343 TANTALUM-10 V-150 uF±20 %

4

110

440

41.

Микросхема

74GTLPH1645DGGR

5

450

2250

42.

Микросхема

SN74LVTH16245ADGG

2

102

204

43.

Микросхема

EPM7256AEQI208-7

1

11435

11435

44.

Модуль

EXB28V102JX

1

5

5

45.

Микросхема

74LVT244MTC

1

7,5

7,5

46.

Микросхема

EPCS16SI8

1

560

560

47.

Модуль

EXB2HV103JV

1

9

9

Продолжение таблицы 3.5

№ п/п

Наименование покупных комплектующих изделий

Количество в натуральном измерении

Цена за единицу, руб.

Общая стоимость, руб.

48.

Микросхема

SN65LVDS2DBV

1

84

84

49.

Микросхема

EP2C15AF484C6

1

4875

4875

50.

Микросхема

ADSP-TS201SABP-050

с радиатором 10-6327-01

8

26100

208800

51.

Микросхема

GXO-7531/AIN-100.0 MHz

1

1170

1170

52.

Микросхема

MAX1623EAP

4

370

1480

53.

Микросхема

PTH05060WAH

2

1490

2980

54.

Микросхема

IDT74FCT3807EPYI

1

253

253

55.

Микросхема

IDT49FCT3805EPYI

1

578

578

56.

Микросхема

74AVC16244DGG

1

345

345

57.

Розетка угловая 617С037SAJ221

1

470

470

58.

Вилка 02 01 160 2101

2

475

950

59.

Вилка PLD-10

2

5

10

60.

Вилка PLD-14

1

7

7

Итого:

240299

Данный показатель используется в сравнительном анализе при выборе варианта новой техники. Объем производства проектируемой новой техники N = 20 шт. (по данным предприятия).

Таблица 3.6 – Затраты на проектирование новой техники

№ п/п

Наименование элементов и статей затрат

Затраты, руб.

Удельный вес, %

1.

Материалы

13170

3,5

2.

Покупные комплектующие изделия

240299

63,3

3.

Заработная плата основных исполнителей

46749,78

12,3

4.

Отчисления на социальные нужды и на случай травматизма

14118,43

3,7

5.

Накладные расходы (120% от ЗП)

56100

14,8

6.

Прочие расходы (20% от ЗП)

9350

2,4

Итого:

ЗР = 379787,21

100

Цена ОКР, то есть цена НТПр, определяется из принципа обеспечения безубыточности деятельности организации и получении прибыли:

где: ЗНТПр – затраты на создание НТПр;

      ЗЗП – оплата труда персонала;

      ρЗП – уровень рентабельности разработки ПП по отношению к оплате труда основных исполнителей, обеспечивающий безубыточную деятельность; принимается на уровне рентабельности НТПр: ρЗП = 300%.  


3.4   Определение себестоимости и цены новой техники

Себестоимость производства определяется с использованием метода укрупненных расчетов по удельному весу затрат на ПКИ в себестоимости производства НТ по формуле:

где: СПКИ – стоимость покупных комплектующих изделий (ПКИ), используемых при производстве НТ;

         dПКИ – удельный вес стоимости ПКИ в себестоимости НТ.

В нашем случае новая техника (ячейка) относится к радиоэлектронной аппаратуре, следовательно dПКИ = 0,7.

Цена новой техники определяется с учетом показателя рентабельности ее производства по формуле:

где: СНТ – себестоимость новой техники, руб.;

       ρНТ – норматив рентабельности производства новой техники, %.

Рентабельность производства разрабатываемой новой техники ρНТ = 30% (по данным предприятия).

Отпускная цена новой техники:


3.5   Определение затрат на эксплуатацию новой техники

Годовые эксплуатационные затраты учитывают лишь те затраты, которые претерпевают изменения при их сопоставлении со сравниваемыми изделиями.  В рассматриваемом случае в состав эксплуатационных затрат входят: амортизационные отчисления, затраты на текущий ремонт техники, заработная плата обслуживающего персонала.

Затраты на электроэнергию не определяются, т.к. электропитание осуществляется от электрогенератора. Величины амортизационных отчислений и затрат на ремонт определяются прямым счетом.

 

Амортизационные отчисления:

где: ЦНТ – цена новой техники, руб.;

      ТС – срок службы техники (ТС = 10 лет – по данным предприятия).

Затраты на текущий ремонт техники:

где НР – норматив затрат средств на ремонт в процентах от цены-нетто;

     НР = 5%.

При расчете затрат на оплату труда персонала, обслуживающего технику можно использовать упрощенный метод определения:

 

Тогда суммарные эксплуатационные затраты для новой техники:

Затраты на оплату труда персонала, обслуживающего технику:

Суммарные эксплуатационные затраты для аналога:

Стоимость покупных комплектующих изделий базовой техники (аналога) по данным предприятия равна СПКИб = 409100 руб.

По формуле (3.8) определим  себестоимость производства аналога:

Определим стоимость базовой техники по формуле (3.9):

Амортизационные отчисления для аналога (базовой техники):

Затраты на ремонт базовой техники:

Таким образом, суммарные эксплуатационные затраты для аналога:

Затраты на оплату труда персонала, обслуживающего технику (аналог):

Все результаты расчетов эксплуатационных затрат сведены в таблице 3.7.

Таблица 3.7 – Годовые эксплуатационные затраты

№ п/п

Наименование статей затрат

Сумма затрат, руб.

Аналог

Проектируемое устройство

1

Заработная плата обслуживающего персонала

75975,71

44626,96

2

Амортизационные отчисления

75975,71

44626,96

3

Затраты на ремонт

37987,86

22313,48

Итого:

189939,28

111567,4


3.6   Определение и оценка показателей экономической эффективности разработки

Экономическая эффективность проектируемой техники характеризуется эффективностью вложений в эту технику. При этом учитывается ее технический уровень, экономичность технической эксплуатации и технико-экономическая прогрессивность.

Экономичность технической эксплуатации:

где: ЗЭКСΣБ, ЗЭКСΣН – годовые эксплуатационные затраты по базовой и новой технике;

      JТУ – индекс технического уровня новой техники.

Уровень технико-экономической прогрессивности:

Т.к. экономический эффект ожидается за счет того, что проектируемая техника НТ является более технологичной при эксплуатации, т.е. имеет место опережение повышения эксплуатационной технологичности по сравнению с ростом эксплуатационных затрат, то для расчета экономического эффекта (ЭОКР/экс) применимо выражение:

где kоп.экс – коэффициент опережения повышения эксплуатационной технологичности по сравнению с изменением затрат на выполнение соответствующей единицы работы.

где JЭП – уровень эксплуатационной прогрессивности НТ, характеризующий повышение эксплуатационной технологичности, JЭП = JТУ.

Уровень экономической эффективности разработки новой техники для ОКР определяется с учетом предпроизводственных затрат:

где УЗ.р – удельные затраты на разработку новой техники, руб.

Срок окупаемости разработки:

 Выводы.

В данном разделе было выполнено технико-экономическое обоснование разработки ячейки вычислительного модуля для устройства цифровой обработки сигналов. В результате расчетов определены следующие показатели: индекс технического уровня изделия; трудоемкость и срок выполнения разработки, затраты, себестоимость, цена ОКР, цена новой техники; затраты на эксплуатацию новой техники, а также проведено календарное планирование разработки, определены показатели экономической эффективности: экономический эффект, уровень экономической эффективности разработки и срок ее окупаемости.

Данная разработка является целесообразной, так как ее технический уровень JТУ = 7,9.

Разработка является экономически эффективной, так как окупится в течение 2,76 месяцев.


4   Охрана труда и окружающей среды

Создание безопасных условий труда разработчика при проведении контроля ячейки вычислительного модуля

При разработке в данном дипломном проекте ячейки вычислительного модуля используется ПК, а также стенд контроля, на котором осуществляется тестирование и контроль ячейки. Этот процесс может подвергаться воздействию неблагоприятных факторов, таких как электрический ток (повышенное напряжение) и неблагоприятный микроклимат, поэтому необходимо разработать мероприятия по обеспечению безопасности труда.


4.1   Анализ условий труда разработчика

Процесс проведения контроля ячейки вычислительного модуля предполагает работу за стендом контроля.

Стенд контроля представляет собой электроустановку, состоящую из УТК (универсальный технологический комплекс), ПК, осциллографа. Потребляемая мощность УТК равна 500 Вт, осциллографа – 80 Вт, ПК – 450 Вт. Напряжение питания – 220 В, с переменным током частотой 50 Гц; сеть – трехфазная четырехпроводная с глухозаземленной нейтралью, система TN.

Количество работников в помещении составляет 14 человек, в помещении находятся 14 ПК, 2 принтера и стенд контроля. Потребляемая мощность принтера составляет 1000 Вт.

В помещении, размером 15×6×3,2 м, имеется 4 окна с двойным остеклением, деревянными рамами, выходящие на юго-запад, размером 2,1×1,5 м; 12 светильников, в каждом по 4 лампы мощностью 18 Вт, встроенных в подвесной потолок; пол покрыт линолеумом.

Таким образом, разработчик при проведении контроля ячейки вычислительного модуля может подвергаться воздействию следующих факторов:

  •  неблагоприятный микроклимат;
  •  электрический ток (повышенное напряжение).

4.1.1   Микроклимат

Микроклимат является одним из важнейших факторов для создания безопасных условий труда. Микроклимат производственных помещений – это метеорологические условия внутренней среды этих помещений, которые определяются действующими на организм человека сочетаниями температуры, влажности, скорости движения воздуха и теплового излучения [21].

Перечисленные параметры оказывают огромное влияние на функциональную деятельность человека, его самочувствие и здоровье и на надежность средств вычислительной техники.

Выделение тепла от различных источников в теплый период года складывается из следующих составляющих [22]:

где: Q – количество тепла в помещении, Вт;

       Qобор – тепло от оборудования, Вт;

       Qл – поступление тепла от персонала, Вт;

       Qосв – выделение тепла системой искусственного освещения, Вт;

       Qогр.к – поступление тепла через ограждающие конструкции (в теплый период = Qр (со знаком +), Вт.

Теплый период года – период года, характеризуемый среднесуточной температурой наружного воздуха выше +10°С.

В рассматриваемом помещении источником тепла является следующее оборудование: вычислительные машины (ПК), принтеры, стенд контроля.

Основными источниками теплоты ПК являются материнская плата, центральный процессор и графический процессор видеокарты (на их долю приходится более половины рассеиваемого тепла), QПК = 300 Вт.

Избыток тепла в помещении равняется:

Рассчитаем количество тепла, выделяемое стендом. Т.к. стенд состоит из УТК, ПК и осциллографа, то количество выделяемого тепла складывается из его составляющих. При отсутствии данных о количестве выделяемого тепла от оборудования, величину теоретически возможного выделения тепла можно рассчитать по формуле:

где: K1 – коэффициент использования установочной мощности оборудования (обычно K1 = 0,95);

       K2 – коэффициент, учитывающий процент одновременно работающего оборудования (K2 = 0,8÷1,0), исходя из наихудшего случая примем K2 = 1;

       Nобор – суммарная установочная мощность оборудования, Вт.

Тогда количество тепла, выделяемое осциллографом равно:

Количество тепла, выделяемое УТК:

Таким образом, количество тепла, выделяемое стендом, равно:

Количество тепла, выделяемое принтером:

Определим избыток тепла в помещении:

q – количество полного тепла, выделяемого одним человеком в помещении, обычно принимают с учетом категории работ по энергозатратам.

Разграничение работ по категориям осуществляется на основе интенсивности общих энергозатрат организма в ккал/ч (Вт). Согласно СанПиН 2.2.4.548-96 работы делятся на следующие категории: Iа, Iб, IIа, IIб, III [23]. По роду деятельности, работа разработчика вычислительной аппаратуры относится к категории Iб.

К категории Iб относятся работы с интенсивностью энергозатрат 121-150 ккал/ч (140-174 Вт), производимые сидя, стоя или связанные с ходьбой и сопровождающиеся некоторым физическим напряжением.

Количество теплоты, выделяемое одним человеком:

  •  при физической – легкой работе = 150 Вт.

Отсюда,    

где n – количество работающих в смену,

n = 14, q = 150 Вт – для легкой работы,

Оптимальные величины показателей микроклимата на рабочих местах производственного помещения для работ категории Iб согласно СанПиН 2.2.4.548-96 приведены в таблице 4.2.

Количество тепла, поступающего от электрического освещения, определяют по фактической мощности осветительной установки:

где: Nосв – суммарная установочная мощность оборудования, Вт;

       K3 – коэффициент, зависящий от способа установки светильников производственного освещения и типа источников света,

K3 = 0,15÷0,45 – для светильников, встроенных в подвесной потолок;

       K4 – коэффициент, учитывающий пускорегулирующую аппаратуру светильника (K4 = 1,2÷1,3).

 Суммарную установочную мощность светильников можно определить как:

где: Nл – мощность одного источника-лампы, Nл = 18 Вт;

      nл – количество ламп в одном светильнике, nл = 4;

      nсв – количество светильников в системе освещения, nсв = 12.

Количество тепла, вносимое солнечной радиацией, определяется по формуле:

где: n – количество окон в помещении;

      F – площадь одного окна, м2;

      qост – солнечная радиация через остекленную поверхность, ккал/ч×м2 ;

      1 Вт = 0,86  ккал/ч×м2 .

Согласно таблице 4.1, для окон с двойным остеклением, деревянными рамами и выходящими на юго-запад 55° с.ш., qост = 125 ккал/ч×м2.


Таблица 4.1 – Величины показателей солнечной радиации через остекленную поверхность

Характеристика

поверхностей с двойным остеклением qост

Юг

35°   45°   55°    65°

Юго-восток,

юго-запад

35°   45°   55°    65°

Восток и запад

35°   45°   55°    65°

Север, северо-восток, северо-запад

35°   45°   55°    65°

Окна с переплетами:

  1.  деревянными

110  125  125  145

85  110  125  145

125  125  145  145

65   65   65   60

  1.  металлическими

140  160  160  180

110  140  160  180

160  160  180  180

80   80   80   70

Таблица 4.2 – Оптимальные величины показателей микроклимата на рабочих местах производственных помещений

Период года

Категория работ по уровню энергозатрат, Вт

Температура воздуха, С

Температура поверхностей, С

Относительная влажность воздуха, %

Скорость движения воздуха, м/с

Холодный

Iб (140-174)

21-23

20-24

60-40

0,1

Теплый

Iб (140-174)

22-24

21-25

60-40

0,1


Таким образом, количество тепла в помещении равно:

Объем производственного помещения равен:

где: a – длина помещения, м;

      b – ширина помещения, м;

      h – высота потолка в помещении, м.

Тогда

Оценим количество тепла, выделяемое в помещении, следующим образом:

если Q/V ≥ 23 Вт/м3, то в помещении выделяется избыток тепла, такие помещения относят к «горячим».

Таким образом, в помещении выделяется избыток тепла.

Температура в помещении в теплый период года равна t = 29°C, а оптимальный показатель для температуры в теплый период времени равен 22-24°C (см. таблицу 4.3) [24].

В холодный период года в помещении работает отопление, температура составляет t = 23°C, что соответствует оптимальному показателю (см. таблицу 4.3).

На основании Руководства Р2.2.2006-5 оценим класс условий труда по микроклимату. Согласно таблице 3 – «Класс условий труда по показателю ТНС-индекса (°C) для рабочих помещений с нагревающим микроклиматом независимо от периода года и открытых территорий в теплый период (верхняя граница)», условия труда относятся к категории «вредный» (класс 3.3).

ТНС-индекс – эмпирический интегральный показатель (выраженный в °C), отражающий сочетание влияние температуры воздуха, скорости его движения, влажности и теплового облучения на теплообмен человека с окружающей средой.

Таблица 4.3 – Условия труда по показателю ТНС-индекса (°C) для рабочих помещений с нагревающим микроклиматом

Категория работ

Класс условий труда

допустимый

вредный

опасный

2

3.1

3.2

3.3

3.4

4

Iб

25,8

26,1

26,9

27,9

30,3

>30,3

В нагревающем микроклимате температура воздуха учтена в ТНС-индексе, используемом для его оценки, поэтому оценку условий труда можно проводить по одному параметру – температуре воздуха в рабочем помещении, что соответствует ТНС-индексу.

3 степень 3 класса (3,3) – условия труда, характеризующиеся такими уровнями факторов рабочей среды, воздействие которых приводит к развитию, как правило, профессиональных болезней легкой и средней степеней тяжести (с потерей профессиональной трудоспособности) в периоде трудовой деятельности, росту хронической (профессионально обусловленной) патологии.

4.1.2   Расчет показателей теплового состояния человека

Ощущение человеком теплоты чаще всего оценивают по семибалльной шкале:

1 – очень холодно;

2 – холодно;

3 – прохладно;

4 – комфортно;

5 – тепло;

6 – жарко;

7 – очень жарко.

Тепловые ощущения человека, одетого в тонкие брюки, рубашку с длинным рукавом и легкое нижнее белье, выполняющего в помещении в течение не менее 3 часов легкую работу в сидячем положении, можно определить по формуле:

где: Б7 – число баллов, соответствующее определенному теплоощущению работающего;

       t – температура воздуха в помещении, °C;

       P – парциальное давление, кПа;

где:  Pн – парциальное давление насыщенных водяных паров в воздухе, кПа;

       W – относительная влажность воздуха, %.

Т.к. температура воздуха в помещении t = 29°C, парциальное давление насыщенных водяных паров в воздухе при данной температуре равно           Pн = 43,25 кПа и W = 50%, тогда

Следовательно:

Таким образом, число баллов равно 5, что соответствует ощущению – тепло.

Повышенная температура в рабочем помещении вызывает:

  1.  нарушение теплообмена человека с окружающей средой, выражающееся в накоплении тепла в организме и увеличении доли потерь тепла испарением пота в общей структуре теплового баланса, появлении общих или локальных дискомфортных теплоощущений;
  2.  нагрев аппаратуры, что может сказаться на ее работе.

4.1.3   Электрический ток (повышенное напряжение)

В рабочем помещении источники электрического тока – ПК, принтеры, стенд контроля; напряжение питания – 220 В, с переменным током частотой 50 Гц; сеть – трехфазная четырехпроводная с глухозаземленной нейтралью, система TN.

Исход воздействия тока зависит от ряда факторов:

  1.  напряжение, приложенное к телу человека;
  2.  электрическое сопротивление тела человека;
  3.  значение и длительность протекания тока через тело человека
  4.  индивидуальные свойства человека.

Проходя через организм, электрический ток оказывает термическое, электролитическое и биологическое действия [25].

Термическое действие выражается в ожогах отдельных участков тела, нагреве кровеносных сосудов, нервов и других тканей. Электролитическое действие выражается в разложении крови и других органических жидкостей, что вызывает значительные нарушения их физико-химических составов.

Биологическое действие является особым специфическим процессом, свойственным лишь живой материи, которое выражается в раздражении и возбуждении живых тканей организма, а также в нарушении внутренних биоэлектрических процессов, протекающих в нормально действующем организме и теснейшим образом связанных с его жизненными функциями. В результате могут возникнуть различные нарушения в организме, в том числе нарушение и даже полное прекращение деятельности органов дыхания и кровообращения. Раздражающее действие тока на ткани организма может быть прямым и рефлекторным.

Исход воздействия тока зависит от ряда факторов, в том числе от значения и длительности протекания через тело человека тока, рода и частоты и индивидуальных свойств человека. Электрическое сопротивление тело человека и приложение к нему напряжение также влияют на исход поражения, но лишь постольку, поскольку они определяют значение тока, проходящего через тело человека.

Электрическое сопротивление тела человека складывается из сопротивления кожи и сопротивления внутренних тканей.

Основные причины электротравм следующие:

  1.  случайное прикосновение к токоведущим частям;
  2.  появление напряжения на металлических конструктивных частях электрооборудования – корпусах, переходных устройствах и т.п. – в результате повреждения изоляции и других причин.

Определим класс опасности нашего помещения по степени поражения электрическим током.

Все помещения делятся по степени поражения  людей электрическим током на три класса:

  •  без повышенной опасности;
  •  с повышенной опасностью;
  •  особо опасные.

Т.к. есть возможность одновременного прикосновения человека к имеющим соединение с землей технологическим аппаратам и установкам с одной стороны, и к металлическим корпусам электрооборудования – с другой, то наше помещение можно отнести к категории помещений с повышенной опасностью.

Согласно ГОСТ 12.1.038-82 ССБТ «Электробезопасность. Предельно допустимые значения напряжений прикосновения и токов», приведем параметры нормального и аварийного режимов работы электроустановки в таблицах 4.4-4.5.

Анализ условий труда разработчика показал о наличии вредных и опасных производственных факторов.

Таблица 4.4 – Параметры нормального режима работы электроустановки

Напряжения прикосновения и токи, протекающие через тело человека при нормальном (неаварийном) режиме электроустановки

Род тока

U, В

I, мА

не более

Переменный, 50 Гц

2,0

0,3

Таблица 4.5 – Параметры аварийного режима работы электроустановки

Предельно допустимые значения напряжений прикосновения и токов при аварийном режиме бытовых электроустановок напряжением до 1000 В и частотой 50 Гц

Продолжительность

воздействия t, с

Нормируемая величина

Продолжительность

воздействия t, с

Нормируемая величина

U, В

I, мА

U, В

I, мА

От 0,01 до 0,08

220

220

0,6

40

40

0,1

200

200

0,7

35

35

0,2

100

100

0,8

30

30

0,3

70

70

0,9

27

27

0,4

55

55

1,0

25

25

0,5

50

50

Св. 1,0

12

2

Это повышенная температура воздуха в теплый период года в рабочем помещении. Из чего следует, что условия труда по микроклиматическим показателям относятся к категории «вредный» - 3.3.

Необходимо разработать мероприятия, которые обеспечат оптимальные микроклиматические условия труда.

Опасным фактором, который может нанести вред здоровью (травму) человека, является электрический ток. Поэтому важно разработать меры защиты от поражения током.

4.2   Разработка мероприятий по уменьшению отрицательного воздействия неблагоприятного микроклимата и защите от воздействия электрического тока (повышенного напряжения)

Т.к. в рабочем помещении наблюдается избыток тепла, необходимо разработать мероприятия, которые обеспечат оптимальные микроклиматические условия в помещении.

Для обеспечения санитарно-гигиенических условий воздушной среды в помещении, благоприятных для здоровья и самочувствия человека, отвечающих требованиям санитарных норм, можно использовать системы вентиляции или кондиционирования.

Вентиляция – процесс удаления отработанного воздуха из помещения и замена его наружным.

Кондиционирование воздуха – автоматическое поддержание в закрытых помещениях параметров воздуха на определенном уровне с целью обеспечения оптимальных метеорологических условий, наиболее благоприятных для самочувствия людей или ведения технологического процесса. Кондиционирование воздуха осуществляется комплексом технических средств, называемым системой кондиционирования воздуха (СКВ).

Т.к. при кондиционировании воздуха происходит автоматическое поддержание его параметров, то для обеспечения оптимальных микроклиматических условий в помещении будем использовать систему кондиционирования воздуха.

В состав СКВ входят технические средства забора воздуха, подготовки, т.е. придания необходимых кондиций (фильтры, теплообменники, увлажнители или осушители воздуха), перемещения (вентиляторы) и его распределения, а также средства хладо- и теплоснабжения, автоматики, дистанционного управления и контроля.

Для системы кондиционирования воздуха различают полную (с поправкой на утечки воздуха в сетях) производительность и полезную (используемую в кондиционируемых помещениях).

Полную производительность определяют в м3/ч по формуле:

где: Kпот – коэффициент, учитывающий потери в воздуховодах. При установке кондиционера вне обслуживаемого помещения для воздуховодов из металла пластмасс Kпот = 1,1…1,15, примем Kпот = 1,1;

        L – полезная производительность системы, м3/ч.

Полезная производительность СКВ определяют по максимальным избыточным тепловым потокам в помещении в теплый период года по явному теплу Q (Вт) по формулам:

где: c – удельная теплоемкость воздуха, c = 1 кДж/(кг·°C);

      ρ – плотность воздуха, кг/м3 (ρ = 1,2 кг/м3);

     Δtр – полная разность температур; Δtр = (6÷8) °C.

Таким образом:

Определив значение требуемой производительности системы кондиционирования воздуха в рассматриваемом помещении, подбираем необходимый кондиционер.

По принципу расположения кондиционера по отношению к обслуживаемому помещению различают центральные и местные.

Т.к. центральные СКВ расположены вне обслуживаемых помещений и кондиционируют много отдельных помещений, то для системы кондиционирования выбираем центральный кондиционер, который имеет возможность эффективного поддержания заданной температуры и относительной влажности в помещениях.

При разработке мер по защите от воздействия электрического тока необходимо учитывать правила устройства электроустановок (ПУЭ).

Используется система TN – система, в которой нейтраль источника питания глухо заземлена, а открытые проводящие части электроустановки присоединены к глухозаземленной нейтрали источника посредством нулевых защитных проводников.

Питание от стационарной электрической сети должно выполняться от источника с глухозаземленной нейтралью с применением систем TN-S.

Система TN-S – система TN, в которой нулевой защитный и нулевой рабочий проводники разделены на всем ее протяжении (рисунок 4.1).

Рисунок 4.1 – Система TN-S переменного тока

Нулевой защитный и нулевой рабочий проводники разделены:

1 - заземлитель нейтрали источника переменного тока; 2 - открытые проводящие части; 3 - источник питания. PEN – совмещенный нулевой защитный и нулевой рабочий проводники; N – нулевой рабочий (нейтральный) проводник.

Т.к. сеть – трехфазная четырехпроводная с глухозаземленной нейтралью системы TN, то для защиты от поражения электрическим током при косвенном прикосновении в таких электроустановках должно быть выполнено защитное автоматическое отключение питания. В такой системе время автоматического отключения питания не должно превышать 0,4 с для номинального фазного напряжения 220 В. При применении защитного автоматического отключения питания должна быть выполнена основная система уравнивания потенциалов, а при необходимости также дополнительная система уравнивания потенциалов.

В состав защитного автоматического отключения входит защитное зануление.

Защитное зануление в электроустановках напряжением до 1 кВ – преднамеренное соединение открытых проводящих частей с глухозаземленной нейтралью генератора или трансформатора в сетях трехфазного тока, выполняемое в целях электробезопасности.

Приведем принципиальную схему организации зануления в сетях трехфазного тока.

Рисунок 4.2 – Принципиальная схема организации зануления в сетях трехфазного тока

Проводник, при помощи которого обеспечивается данное соединение, называется нулевым защитным проводником. Этот проводник не следует путать с  нулевым рабочим проводником, который также как и защитный соединяется с глухозаземленной нейтральной точкой источника электроэнергии, но служит для  питания током энергопотребителей (т.е. по нему протекает рабочий ток).

Защитное зануление является достаточно эффективным средством, которое успешно применяется для предотвращения возможного поражения людей электрическим током в случае их прикосновения к металлическим частям корпуса электроустановки, случайно находящейся под напряжением.

Суть защитного действия зануления заключается в превращении  аварийного замыкания токоведущих частей установки на корпус в обычное однофазное короткое замыкание. Подобное замыкание (между фазным и нулевым защитным проводниками) приведёт к появлению в цепи больших токов короткого замыкания, что вызовет немедленное срабатывание защитного устройства, т.е. автоматическое отключение поврежденной электроустановки от питающей сети. В качестве защитных устройств в этом случае могут использоваться:

  1.  предохранители или автоматы, устанавливаемые для защиты от токов короткого замыкания;
  2.  контакторы;
  3.  автоматы с комбинированными расцепителями и др.

Уравнивание потенциалов – электрическое соединение проводящих частей для достижения равенства их потенциалов.

Основная система уравнивания потенциалов в электроустановках до 1 кВ должна соединять между собой следующие проводящие части:

  1.  нулевой защитный РЕ- или PEN-проводник питающей линии в системе TN;
  2.  металлические части централизованных систем вентиляции и кондиционирования;
  3.  металлические оболочки телекоммуникационных кабелей.

Для соединения с основной системой уравнивания потенциалов все указанные части должны быть присоединены к главной заземляющей шине при помощи проводников системы уравнивания потенциалов.

Система дополнительного уравнивания потенциалов должна соединять между собой все одновременно доступные прикосновению открытые проводящие части стационарного электрооборудования и сторонние проводящие части, включая доступные прикосновению металлические части строительных конструкций здания, а также нулевые защитные проводники в системе TN.

При применении системы TN рекомендуется выполнять повторное заземление РЕ- или PEN-проводников на вводе в электроустановки зданий, а также в других доступных местах.

Токоведущие части электроустановки не должны быть доступны для случайного прикосновения, а доступные прикосновению открытые и сторонние проводящие части не должны находиться под напряжением, представляющим опасность поражения электрическим током, как в нормальном режиме работы электроустановки, так и при повреждении изоляции.

Для защиты от поражения электрическим током в нормальном режиме должны быть применены по отдельности или в сочетании следующие меры защиты от прямого прикосновения:

- основная изоляция токоведущих частей;

- ограждения и оболочки;

- размещение вне зоны досягаемости и др.

Также возможно применение устройства защитного отключения – УЗО. УЗО может быть использовано как основной способ защиты, так и как дополнение к защитному занулению (автоматическое защитное отключение питания).

Основными частями УЗО являются прибор защитного отключения и автоматический выключатель.

Прибор защитного отключения – совокупность отдельных элементов, которые реагируют на изменение какого-либо параметра электрической сети и дают сигнал на отключение автоматического выключателя. Этими элементами являются: датчик – устройство, воспринимающее изменение параметра и преобразующее его в соответствующий сигнал; усилитель, предназначенный для усиления сигнала датчика, если он оказывается не достаточно мощным; цепи контроля, служащие для периодической проверки исправности схемы защитно-отключающего устройства; вспомогательные элементы – сигнальные лампы, измерительные приборы, характеризующие состояние электроустановки, и т.п.

Автоматический выключатель – устройство, служащее для включения и отключения цепей, находящихся под нагрузкой, и при коротких замыканиях. Он должен отключать цепь автоматически при поступлении сигнала от прибора защитного отключения.   


 Выводы.

В данной главе дипломного проекта проанализированы вредные и опасные производственные факторы, возникающие при разработке ячейки вычислительного модуля, такие как микроклимат, электрический ток (повышенное напряжение), и приведены мероприятия, которые позволят снизить риск вредных и опасных воздействий на разработчика при проведении контроля ячейки вычислительного модуля и создать безопасные условия труда.


ЗАКЛЮЧЕНИЕ

В данном дипломном проекте была разработана ячейка вычислительного модуля для устройства цифровой обработки сигналов.

Разработанное устройство имеет следующие технические параметры:

- напряжение питания +5В, потребляемый ток 4,2А;

- тактовая частота – 50 МГц;

- разрядность слов – 10;

- размер многослойной ПП - 233×160 мм.

Полученные данные полностью соответствуют техническому заданию.

В ходе дипломного проектирования проделано следующее:

  •  разработаны функциональная и принципиальная схемы ячейки вычислительного модуля;
  •  выбрана соответствующая современная элементная база, наиболее подходящая для решения поставленных задач;
  •  разработан проект ПЛИС дешифратора в САПР MAX+plus II фирмы Altera;
  •  разработана программа для тестирования устройства;
  •  разработана конструкция ячейки вычислительного модуля, выбраны способ охлаждения и компоновочная схема;
  •  выполнены конструкторские расчеты (расчет надежности по внезапным отказам, расчет вибропрочности конструкции);
  •  рассчитаны оценки технологичности конструкции ФЯ по конструкторским и производственным параметрам;
  •  разработан технологический процесс сборки и монтажа ФЯ вычислительного модуля, а также специальная технологическая оснастка для ее контроля;
  •  выполнены технико-экономическое обоснование и календарное планирование разработки ячейки вычислительного модуля для устройства цифровой обработки сигналов;
  •  проанализированы вредные и опасные факторы, возникающие при разработке ФЯ, и разработаны мероприятия для обеспечения охраны труда, которые позволят снизить риск вредных и опасных воздействий на разработчика.


СПИСОК ИСПОЛЬЗУЕМЫХ ИСТОЧНИКОВ

  1.  Бобров Д.Ю., Доброжанский А.П., Зайцев Г.В., Маликов Ю.В., Цыпин И.Б.. Цифровая обработка сигналов в многофункциональных РЛС. Цифровая обработка сигналов, 2001.
  2.  Analog Devices. ADSP-TS201 TigerSHARC Embedded Processor Data Sheet [PDF], 2006.
  3.  Altera Corporation. MAX 7000A Programmable Logic Device Data Sheet [PDF], 2002.
  4.  Altera Corporation. Section I. Cyclone II Device Family Data Sheet [PDF], 2007.
  5.  Altera Corporation. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, EPCS64 and EPCS128) Data Sheet [PDF], 2007.
  6.  Texas Instruments Incorporated. SN65LVDS1, SN65LVDS2, SN65LVDT2 High-speed differential line driver/receivers Data Sheet [PDF], 2002.
  7.  Texas Instruments Incorporated. SN74GTLPH1645 16-bit LVTTL-to-GTLP Adjustable-edge-rate bus transceiver Data Sheet [PDF], 2005.
  8.  Texas Instruments Incorporated. SN54LVTH16245A, SN74LVTH16245A 3.3-V ABT 16-bit bus transceiver with 3-state outputs Data Sheet [PDF], 2005.
  9.  Golledge. 3.3V CMOS Oscillator GXO-7531 Data Sheet [PDF], 2006.
  10.   Integrated Device Technology Incorporated. 3.3V CMOS 1-to-10 clock driver IDT74FCT3807/A Data Sheet [PDF], 2001.
  11.   Texas Instruments Incorporated. SN54LVT244, SN74LVT244 3.3-V ABT octal buffers/drivers with 3-state outputs Data Sheet [PDF], 1994.
  12.   Philips Semiconductors. 74AVC16244 16-bit buffer/line driver; 3-state (3.6 V tolerant) Data Sheet [PDF], 1999.
  13.   Integrated Device Technology Incorporated. 3.3V CMOS buffer/clock driver Data Sheet [PDF], 2001.
  14.   Maxim Integrated Products. 3A, Low-Voltage, Step-Down Regulator with Synchronous Rectification and Internal Switches MAX1623 Data Sheet [PDF], 1999.
  15.   Texas Instruments Incorporated. PTH05060W – 5-V Input Data Sheet [PDF], 2003.
  16.   Комолов Д.А., Мяльк Р.А., Зобенко А.А., Филиппов А.С.. Системы автоматизированного проектирования фирмы Altera Max+Plus II и Quartus II. Краткое описание и самоучитель. – М.: ИП РадиоСофт, 2002.
  17.   Борисов В.Ф., Мухин А.А., Митюшин М.Ф., Шишков А.Н., Чайка Ю.В.. Проектирование РЭС: Учебное пособие по курсовому и дипломному проектированию. – М.: Изд-во МАИ-ПРИНТ, 2008.
  18.   Борисов В.Ф., Мухин А.А., Чермошенский В.В., Чайка Ю.В., Борзаков Ю.И., Прошунин В.В.. Основы конструирования и технологии РЭС: Учебное пособие по курсовому проектированию. – Изд-во МАИ, 2000.
  19.   Панагушин В.П., Ковалева Т.С., Малютина О.А., Михайловская Н.М., Прозорова В.С., Чайка Н.К.. Экономическое обоснование дипломных проектов (работ) по приборо- и радиоприборостроению. Методические указания. Издание шестое, исправленное и дополненное. Под редакцией д. э. н., профессора Панагушина В.П. – М.: Издательство ИВАКО Аналитик, 2008.
  20.   Заковряшин А.И., Кошелькова Л.В.. Методические указания по дипломному проектированию по специальности «Проектирование и технология электронно-вычислительных средств». – М.: Изд-во МАИ-ПРИНТ, 2008.
  21.   ГОСТ 12.005-88. Общие санитарно-гигиенические требования к воздуху рабочей зоны.
  22.   Бобков Н.И., Голованова Т.В.. Охрана труда на ВЦ: Методические указания к дипломному проектированию. – М.: Изд-во МАИ, 1991.
  23.   СанПиН 2.2.4.548-96. Физические факторы производственной среды.
  24.   Руководство Р 2.2.2006-05 «Руководство по гигиенической оценке факторов рабочей среды и трудового процесса. Критерии и классификация условий труда».
  25.   Е.Я. Юдин, Белов С.В., Баланцев С.К. и др. Учебник для машиностроительных вузов. – М.: Машиностроение, 1983.


ПРИЛОЖЕНИЯ

Приложение А. Функциональная схема ячейки



Приложение Б. Принципиальная электрическая схема










Приложение В. Перечень элементов










































Приложение Г. Текст программы дешифратора


-- Quartus VHDL Template

-- Clearable loadable enablable counter

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

USE ieee.std_logic_arith.all;

ENTITY dcvm1 IS

PORT

(

 input : IN STD_LOGIC_VECTOR(4 DOWNTO 1);--input1-adres21...input5-adres24

 csb  : IN STD_LOGIC;

 csh  : IN STD_LOGIC;

 cskpi : OUT STD_LOGIC;

 

 hbg  : IN STD_LOGIC;

 rdhost : IN STD_LOGIC;

 wrhost : IN STD_LOGIC;

 mdan  : OUT STD_LOGIC;

 rdin  : OUT STD_LOGIC;

 wrin  : OUT STD_LOGIC;

 

 sop2  : IN STD_LOGIC;

 sop3  : IN STD_LOGIC;

 stop  : IN STD_LOGIC;

 signal1 : IN STD_LOGIC;

 signal2 : IN STD_LOGIC;

 irq0  : OUT STD_LOGIC;

 irq1  : OUT STD_LOGIC;

 irq2  : OUT STD_LOGIC;

 irq3  : OUT STD_LOGIC;

 fl01  : IN STD_LOGIC;

 fl02  : IN STD_LOGIC;

 fl03  : IN STD_LOGIC;

 fl04  : IN STD_LOGIC;

 fl05  : IN STD_LOGIC;

 fl06  : IN STD_LOGIC;

 fl07  : IN STD_LOGIC;

 fl08  : IN STD_LOGIC;

 fl11  : IN STD_LOGIC;

 fl12  : IN STD_LOGIC;

 fl13  : IN STD_LOGIC;

 fl14  : IN STD_LOGIC;

 fl15  : IN STD_LOGIC;

 fl16  : IN STD_LOGIC;

 fl17  : IN STD_LOGIC;

 fl18  : IN STD_LOGIC;

 flidc : IN STD_LOGIC;

 start : IN STD_LOGIC;

 fl0  : OUT STD_LOGIC;

 fl1  : OUT STD_LOGIC;

 fl2  : OUT STD_LOGIC

);

 

END dcvm1;

ARCHITECTURE cs OF dcvm1 IS

BEGIN

i1: PROCESS (input,csh,csb)

BEGIN

 

cskpi <= '1';

IF csh='0' THEN

-- CSkpi

 IF (input ="0010" OR

  input ="0011")

  THEN

   cskpi <= '0';

  ELSE

   cskpi <= '1';

 END IF;

IF csb='0' THEN

-- CSkpi

 IF (input ="0010" )

  THEN

   cskpi <= '0';

  ELSE

   cskpi <= '1';

 END IF;

END PROCESS i1;

i2: PROCESS (sop2,stop,sop3,signal1,signal2)

BEGIN

   irq0 <= sop2;

   irq1 <= stop;

   irq2 <= sop3;

   irq3 <= signal1 and signal2;

END PROCESS i2;

i3: PROCESS (fl01,fl02,fl03,fl04,fl05,fl06,fl07,fl08)

BEGIN

  fl0 <= fl01 and fl02 and fl03 and fl04 and fl05 and fl06 and fl07 and fl08;

END PROCESS i3;

i4: PROCESS (fl11,fl12,fl13,fl14,fl15,fl16,fl17,fl18)

BEGIN

  fl1 <= fl11 or fl12 or fl13 or fl14 or fl15 or fl16 or fl17 or fl18 or (NOT (flidc));

END PROCESS i4;

i5: PROCESS (start)

BEGIN

  fl2 <= start;

END PROCESS i5;

m1: PROCESS (hbg,csh,rdhost)

BEGIN

 

  IF (hbg ='0' and csh='0' and rdhost='0'

   )

 

   THEN

   mdan <= '1';

  ELSE     

   mdan <= '0';

  END IF;

 

END PROCESS m1;

 

END cs;


Приложение Д. Текст программы для тестирования устройства


/*Основная программа процессорного элемента TESTPRC.asm*/

#include "defts201.h"

#include "cache_macros.h"

.extern IRQ0;    /*Вектор прерывания SOP2*/

.extern IRQ1;    /*Вектор прерывания STOP*/

.extern IRQ2;    /*Вектор прерывания SOP3*/

.extern IRQ3;    /*Вектор прерывания NCP & R0*/

.extern TESTPRC;

.section program;

 RETI=Begin;;  /*Адрес возврата из прерывания AUTODMA0*/

 RTI (NP) (ABS);; /*Возврат из прерывания AUTODMA после BOOT на начало программы*/

Begin:

#ifdef __ADSPTS201__#ifdef __ADSPTS201__

/*in the case of TS201, at the beginning of the program the

cache must be enabled. The procedure is contained in the

cache_enable macro that uses the refresh rate as input parameter

     -if CCLK=500MHz, refresh_rate=750

     -if CCLK=400MHz, refresh_rate=600

     -if CCLK=300MHz, refresh_rate=450

     -if CCLK=250MHz, refresh_rate=375

*/

// cache_enable(750);

 

#endif  

 j1 = CACMD_EN ;;

 j0 = (CACMD_REFRESH | 750);;

 j0=j0 OR j1;;

 CACMDB = j0;;

/* Аномалия 0359 */

   mr1:0 += r1:0 * r3:2;;

   r4 = r0 + r1;;

   lbuftx0 = xr3:0;;

   lbuftx0 = yr3:0;;

   

xr0=0;;     /*All interrupts disabled*/

IMASKL=xr0;;

IMASKH=xr0;;

xr0=0;;

INTCTL=xr0;;   /*All IRQs edge sensitivity, timers stop*/

/******************** Установка векторов прерываний **********************/

j0=j31+IRQ3;;   /*IRQ3*/

IVIRQ3=j0;;

 

j0=j31+IRQ2;;   /*IRQ2*/

IVIRQ2=j0;;

 

j0=j31+IRQ1;;   /*IRQ1*/

IVIRQ1=j0;;

 

j0=j31+IRQ0;;   /*IRQ0*/

IVIRQ0=j0;;

   

/*************************************************************************/

/******** Флаги ********

FLAG0 - Выход READY

 FLAG1 - Выход ERROR

FLAG2 - Вход START

FLAG3 - Вход NCP/R0 (0 - NCP, 1 - R0) */

    

/* Режим Supervisor */

   SQCTL = SQCTL_NMOD | SQCTL_GIE;;

   

  // .section stack;

 

jump TESTPRC;;   /*Jump to main programm*/

TESTPRC:

 xr0=0;;

 j31=0;;   /*Регистр для непосредственной адресации =0 НЕ ТРОГАТЬ*/

/*Установка циклов ожиданий для всех банков внешней памяти*/

 

 xr0=SYSTAT;;

 xr1=0x7;;

 xr10=r0 AND r1;;  /*Локальный номер ПЭ*/

/* установка флагов 0 и 1, т.к.2 и 3 флаг являются входами, и после RESET они и так станут входами */

 

 flagregst = FLAGREG_FLAG0_EN |FLAGREG_FLAG0_OUT;; /*Конфигурация FLAG0 как выходного*/

 flagregst = FLAGREG_FLAG1_EN |FLAGREG_FLAG1_OUT;; /*Конфигурация FLAG1 как выходного и установка в 1 - ERROR*/

 

 

/* ожидание IRQ3 (NCP) самое начало работы*/

/* установка прерываний */

 xr0=IMASKH;;

 xr0=BSET r0 by INT_IRQ3_P;;   /*Разрешение прерываний IRQ3 NCP, R0*/

 xr0=BCLR r0 by INT_IRQ2_P;;   /*запрет прерываний SOP3*/

 xr0=BCLR r0 by INT_IRQ1_P;;   /*запрет прерывания STOP*/

 xr0=BCLR r0 by INT_IRQ0_P;;   /*запрет прерываний SOP2*/

   IMASKH=xr0;;

 

 xr0=INTCTL;;

 xr0=BSET r0 by INT_IRQ3_P;;   /*прерывание фронтовое*/

 xr0=BSET r0 by INT_IRQ2_P;;   /*прерывание фронтовое*/

 xr0=BSET r0 by INT_IRQ1_P;;   /*прерывание фронтовое*/

 xr0=BSET r0 by INT_IRQ0_P;;   /*прерывание фронтовое*/

 INTCTL=xr0;;

 

 xr0=0;;

 ILATCLL=xr0;;      /*Сброс всех прерываний*/

 ILATCLH=xr0;;      /*Сброс всех прерываний*/

    

/* установка флагов  */

 flagregcl = ~(FLAGREG_FLAG0_OUT);; /*FLAG0=0 */

 flagregcl = ~(FLAGREG_FLAG1_OUT);; /*FLAG1=0 */  

 

/*Инициализация Link-port 0 для приема*//****** Программирование  LINK0, на прием инф ******/

 xr29=0;;

 LRCTL0=xr29;;

 nop;;

 

 xr29=LRCTL_RINIF | LRCTL_RINIV;;

 LRCTL0=xr29;;

 nop;;

 xr29 = DCNT_DMA8;;     /*Пауза DMA link0*/

 DCNT=xr29;;

 nop;;

 xr29=0;;

 LRCTL0=xr29;;      /*Запрет приема информации*/

 nop;;

 LC0=100;;

PauseLinkNCP:

 if NLC0E, jump  PauseLinkNCP; nop;;

 

 xr4=0;; xr5=0;; xr6=0;; xr7=0;;  /*Сброс TCB*/

 DC8 = xr7:4;;      /*Запись в регистры DMA LINK 0 RX*/

 nop;;       

 xr29 = 0;;       /*Снятие паузы DMA link0*/

 DCNT=xr29;;

 xr29:28=DSTATC;;     /*Чтение регистра состояний DMA cо сбросом ошибок*/

 xr29=LRCTL_RINIF | LRCTL_RINIV;; /*Инициализация линк-порта*/

 LRCTL0=xr29;;

 nop;;

 

 

 xr4=0x1fe40;;     /*начальный адрес массива для приема*/

 xr5=0x80004;;     /*Регистр DX  количество и тип принимаемых слов*/

 xr6=0x00000000;;    /*Регистр DY = 0 т.к. нет двумерного DMA*/

 xr7=TCB_INTMEM | TCB_QUAD;;

 

 DC8 = xr7:4;;     /*Запись в регистры DMA LINK 0 RX*/

 nop;;      

 

 xr29=LRCTL_REN | LRCTL_RDSIZE;;

 nop;;      /* пуск LINK0 */

 nop;;     

WAIT:

 nop;;

 nop;;

 nop;;

 idle;;

 nop;;

 nop;;

 nop;;

 jump WAIT (NP);;

/* отработка NCP или R0*/  

IRQ3:

 ILATCLL=xr0;;       /*Сброс всех прерываний*/

 ILATCLH=xr0;;       /*Сброс всех прерываний*/

   

 xr8=IMASKH;;

 xr8=BSET r8 by INT_IRQ3_P;;    /*Разрешение прерываний R0*/

 xr8=BSET r8 by INT_IRQ0_P;;    /*Разрешение прерываний SOP2*/

   IMASKH=xr8;;

/*анализ флага  FL3 что пришло NCP или R0 */

   

 if FLAG3_IN, jump S2(NP);; /*переход если R0*/

      

/* установка флагов  */

 flagregst = FLAGREG_FLAG0_OUT;;  /*FLAG0=1 */

 flagregcl = ~(FLAGREG_FLAG1_OUT);; /*FLAG1=0 */

  

  

 xr29=xr29;;

 xr29=xr29;;

 xr29=xr29;;

     

 jump S3(NP);;

   

S2:   /* установка флагов  */

 flagregcl = ~(FLAGREG_FLAG0_OUT);;  /*FLAG0=0 */

 LRCTL0=xr29;;      /* пуск LINK0 */

 xr8=IMASKH;;

 xr8=BCLR r8 by INT_IRQ3_P;;   /*запрет прерываний R0*/

 xr8=BSET r8 by INT_IRQ0_P;;   /*Разрешение прерываний SOP2*/

 IMASKH=xr8;;

   

 

S3:  nop;;    

 

IRQ3.END:  

 RTI (NP) (ABS);;

 

/* отработка IRQ0 (SOP2)*/

IRQ0:

 ILATCLL=xr0;;      /*Сброс всех прерываний*/

 ILATCLH=xr0;;      /*Сброс всех прерываний*/

   

 xr8=IMASKH;;

 xr8=BCLR r8 by INT_IRQ3_P;;   /*запрет прерываний R0, NCP*/

 xr8=BCLR r8 by INT_IRQ0_P;;   /*запрет прерываний SOP2*/

 xr8=BSET r8 by INT_IRQ2_P;;   /*Разрешение прерываний SOP3*/

 IMASKH=xr8;;

 

 

 flagregst = FLAGREG_FLAG0_OUT;;  /*FLAG0=1 */

 flagregcl = ~(FLAGREG_FLAG1_OUT);; /*FLAG1=0 */

 

 

 xr2=0x08040201;;

 j4=xr4;;  /*адрес информации, записавшейся по LINK-порту*/

 xr12=[j4+=1];;

 comp(r2,r12);;

 if AEQ; do,flagregcl =~(FLAGREG_FLAG0_OUT);; /* если 1-е слово записалось правильно*/

IRQ0.END:  

 RTI (NP) (ABS);;

 

 

/* отработка IRQ2 (SOP3)*/

IRQ2:

 ILATCLL=xr0;;      /*Сброс всех прерываний*/

 ILATCLH=xr0;;      /*Сброс всех прерываний*/

 xr0=0;;

 IMASKL=xr0;;

 IMASKH=xr0;;

      

 xr8=IMASKH;;

 xr8=BSET r8 by INT_IRQ1_P;;   /*Разрешение прерываний IRQ1*/

 xr8=BCLR r8 by INT_IRQ2_P;;   /*запрет прерываний IRQ2 (SOP3)*/

 IMASKH=xr8;;

 nop;;

 flagregcl =~( FLAGREG_FLAG0_OUT);; /*FLAG0=0 */

 flagregcl =~(FLAGREG_FLAG1_OUT);; /*FLAG1=0 */

   

IRQ2.END:  

 RTI (NP) (ABS);;

 

 

/* отработка IRQ1 (STOP)*/  

IRQ1:  

 ILATCLL=xr0;;      /*Сброс всех прерываний*/

 ILATCLH=xr0;;      /*Сброс всех прерываний*/

   

 xr8=IMASKH;;

 xr8=BCLR r8 by INT_IRQ1_P;;   /*запрет прерываний IRQ1*/

 IMASKH=xr8;;

 nop;;

 flagregst = FLAGREG_FLAG0_OUT;;  /*FLAG0=1 */

 flagregst = FLAGREG_FLAG1_OUT;;  /*FLAG1=1 */

 xr0=SYSTAT;;

 xr1=0x7;;

 xr10=r0 AND r1;; /*Локальный номер ПЭ*/

 

 j1=0x05555;;

 j2=0x1aaaa;;

 LC1=6;;

 nop;;

 _loop1:

 xr15=0xaaaaaaa8;;

 nop;;

 xr15=r15 OR r10;;

 nop;;

 flagregcl = ~(FLAGREG_FLAG0_OUT);; /*FLAG0=0 */

 nop;;

 [j1 += 0x40000]=xr15;;

 xr16= NOT r15;;

 nop;;

 [j2 += 0x40000]=xr16;;

 flagregst = FLAGREG_FLAG0_OUT;;  /*FLAG0=1 */

 nop;;

 if NLC1E, jump _loop1; nop;;

 nop;;

 flagregcl = ~(FLAGREG_FLAG0_OUT);;  /*FLAG0=0 */

 nop;;

 

IRQ1.END:

 RTI (NP) (ABS);;  

 

TESTPRC.END:


Приложение Е. Спецификация



























Приложение Ж. Графические листы

PAGE   \* MERGEFORMAT 3




1. 123. Биологическое оружие применяется для- Верные ответы 123.
2. НС Хрущев
3. Ислам в России- Традиции и перспективы
4. тематик I Общие положения Настоящее Положение о Заочном дистанционном конкурсе школьников Юный
5. тематике на которые авторы в той или иной степени опирались относясь к труду их создателей с безусловным ува
6. Астрология друидов или бытие человек
7. темах и в отношениях человеческого общества с природой
8. один из наиболее древних и наиболее замечательных своим прошлым русских городов
9. Современный этап развития рынка ценных бумаг в России и задачи регулирования.html
10. ТЕМА 2. КАНАЛЫ ПЕРЕДАЧИ ДАННЫХ И ИХ ХАРАКТЕРИСТИКИ ЛЕКЦИЯ 2
11. а супруга супруг включая и бывших мать отец братья сестры и дети с указанием фамилии имени о
12. градостроительная деятельность планирование проектирование разработка генеральных планов контроль осу.html
13. Основные концепции и этапы становления социального государства
14. Ростовский государственный строительный университет
15. Культура и спорт
16. Клиническое исследование при любом внутреннем незаразном заболевании
17. Информационно ~ аналитическая среда бизнеса [1] Введение
18. тема сил под действием которой тело находится в равновесии Система сил под действием которой тело соверш
19. РЕФЕРАТ Дисертації на здобуття наукового ступеня кандидата економічних наук ЛЬВIВ ~ 2001 Дисе
20. Мишка косолапый медовый грильяж