Будь умным!


У вас вопросы?
У нас ответы:) SamZan.net

на тему- Расчет электронного логического автомата Выполнил

Работа добавлена на сайт samzan.net:


Федеральное агентство по образованию

ГОУ ВПО

Ивановский государственный энергетический университет имени В.И. Ленина

Кафедра электроники и микропроцессорных систем

Пояснительная записка к курсовому проекту на тему:

«Расчет электронного логического автомата»

Выполнил

студент гр. III-35x Балабеков Э.А.о

Проверил

Агапов В.А.

Иваново 2010


СОДЕРЖАНИЕ

ВВЕДЕНИЕ …………………………………………...……………………...……………………. 3

ИСХОДНЫЕ ДАННЫЕ ДЛЯ РАСЧЕТА ……………...……………………………………...…. 4

1 Синтез автомата на элементах малой степени интеграции …………………………....…...… 5

2 Синтез автомата на мультиплексорах ...…………...…………………………………...…..… 10

2.1 Разработка схемы индикации на мультиплексорах …….……...…..…...………….... 10

2.2 Выбор адресных переменных для мультиплексоров …………………...…...………. 11

2.3 Выбор информационных переменных для мультиплексоров ……...………………. 15

2.4 Расчет токоограничительного резистора ………………………………………....….. 19

2.5 Моделирование схемы индикации в программном комплексе Multisim 10.1………21

3 Синтез автомата на программируемых логических устройствах ………………...….......…. 22

3.1 Управляющий генератор на мультиплексоре (замкнутая система) …….……….…. 22

3.2 Управляющий генератор при программном режиме (разомкнутая система) .…...... 23

3.3 Автомат состояния на ПЛМ …………………………………...…………….………... 24

4 Электрический расчет блока питания ……………………………………………..………..... 28

4.1 Расчет источника питания на микросхеме КР142ЕН5А……………………….……..28

4.2 Расчет потребляемой нагрузки всех составных частей ЦЛУ…………………..…….28

4.3 Расчет параметров трансформатора……………………………………………...…….29

4.4 Выбор диодов………………………………………………………………………...….29

4.5 Параметры фильтра……………………………………………………………………..30

4.6 Проверка рассчитанного блока питания моделированием…………………...………31

5 Электрический расчет тактового генератора…………………………………………….…….33

5.1 Расчет тактового генератора на микросхеме КР1006ВИ1......................................…..33

5.2 Проверка рассчитанного тактового генератора моделированием…………...………34

Список использованных источников …………………..………………………….…………..... 00

Приложение А. Печатная плата …………………………………..…………………...……....... 00

Приложение Б. Схема электрического расположения элементов платы КСУ …....…...…….. 00

Приложение В. Схема электрическая принципиальная логического автомата ….....……...... 00

Приложение Г. Перечень элементов ………………..……...………………............................... 00


ВВЕДЕНИЕ

Логический автомат – это устройство, автоматически выполняющее некоторые функции, для задания которых используется аппарат алгебры логики. Процесс проектирования логических автоматов выполняется в несколько этапов и носит итерационный характер. На этапе системного проектирование по заданным требованиям составляется алгоритм функционирования устройства и разрабатывается состав блоков, структура их соединений и общий алгоритм функционирования каждого блока. С учетом учебной направленности курсового проектирования будет рассматриваться только аппаратный способ реализации алгоритмов, а структурная схема будет использоваться в готовом виде (рис. 1).

Рис.1. Структурная схема логического автомата для управления роботом:

БУ и П - блок управления и питания; АС - автомат состояний; СИ - схема индикации; КСУ - комбинационная схема управления; УГ - управляющий генератор; ИУ - исполнительное устройство; ОУ - объект управления; ЛА - логический автомат.

При аппаратном способе реализации алгоритмов на этапе логического проектирования разрабатываются функциональные схемы каждого из блоков, проводятся необходимые расчёты по синтезу схем и готовится материал для следующего этапа – технического проектирования, то есть построения принципиальных и монтажных схем. Этапы логического и технического проектирования сопровождаются расчётами при анализе и синтезе схем и, как правило, проводятся с применением вычислительной техники с использованием САПР.

ИСХОДНЫЕ ДАННЫЕ ДЛЯ РАСЧЕТОВ

  1. Питание от однофазной сети с напряжением 220В ± 5% и частотой 50Гц.
  2. Требования к параметрам датчиков и исполнительным устройствам формулируются мной.
  3. Эксплуатация в помещении при температуре +5°…+35°С.
  4. Конструкция – встраиваемый прибор общепромышленного назначения.
  5. Функции комбинационной схемы управления (КСУ):

Ф1(ABCD)=1,5,13,9,3,7;

Ф2(ABCD)=13,15,11,10,2;

Ф3(ABCD)=5,7,13,15,12,8,14,10;

В двоичном коде функции КСУ представлены в табл. 1.

Таблица 1. Функции КСУ в двоичном коде

Число

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

A

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

B

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

C

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

D

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

Ф1

0

1

0

0

1

1

0

0

0

1

0

0

1

1

0

0

Ф2

0

0

1

1

1

1

1

0

0

0

0

0

0

0

0

0

Ф3

1

1

1

1

1

1

0

0

0

0

1

1

0

0

0

0

  1. Параметры управляющего генератора (для разомкнутой системы):
  2. минимальное время такта: 3с;
  3. относительное время каждого такта: 13,12,4,11,8,9,13,9,8,2,1,6,15,11,6,7.
  4. Функции изменения состояния автомата (терм ABCD) задана по табл. 2 .

Таблица 2. Функции изменения состояния автомата

X1

X0

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

0

0

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

0

1

15

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

1

0

4

11

2

10

13

14

6

12

9

7

0

1

3

8

15

5

1

1

1

3

5

7

9

11

13

15

0

2

4

6

8

10

12

14

  1. Схема индикации реализуется на семисегментных индикаторах с ОА (общий анод) и мультиплексорах с диапазоном отображаемых чисел с 13 по 43 с шагом 2.


1 Синтез автомата на элементах малой степени интеграции

Синтез КСУ осуществляется методом минимизирующих карт (рис. 2).

а) минимизация по нулям

б) минимизация по единицам

Рис. 2. Минимизирующие карты

Уравнения при минимизации по 0 (рис. 2а):

Ф1=

Ф2=

Ф3=

Уравнения при минимизации по 1 (рис. 2б):

Ф1=                         

Ф2=+         

Ф3=

Разложим функции по базису, используя законы ДеМоргана.

1) ИЛИ-НЕ

Ф1=

Ф2=

Ф3=

2) И-НЕ

Ф1=

Ф2=

Ф3=

Число элементов, соединений (дорожек на печатной плате) и микросхем КСУ определяется непосредственным подсчетом на схеме (рис. 3).

а)

Рис. 3. Схемы КСУ в разных базисах: а – базис ИЛИ-НЕ (начало)

б)

Рис. 3. Схемы КСУ в разных базисах: б – базис И-НЕ (продолжение)

Сложность КСУ в различных базисах характеризуется в табл. 3.

Таблица 3. Характеристики вариантов КСУ

Базис

Число логических элементов

Число соединений

Число корпусов ИМС

И, ИЛИ, НЕ

15

29

5

ИЛИ-НЕ

13

31

4

И-НЕ

15

32

5

Окончательно выбирается КСУ в базисе ИЛИ-НЕ как имеющая наименьшее число микросхем. Схема КСУ представлена на рис. 4.

Рис. 4. Схема КСУ, реализующая функции Ф1, Ф2, Ф3 на элементах ИЛИ-НЕ


2 Синтез автомата на мультиплексорах

2.1 Разработка схемы индикации на мультиплексорах

Необходимо разработать схему индикации (СИ) на мультиплексорах, которая отображает на семисегментных индикаторах числа с 13 по 43В. Приведем буквенные обозначения сегментов индикаторов (рис. 2), согласно которым составим таблицу логических функций сегментов (табл. 1).

Рис. 5. Сегментные индикаторы для СИ

Таблица 4. Таблица истинности мультиплексорной СИ

Входы

Отображаемое число

Выходы схемы

Независимые переменные

Функции сегментов

A

B

C

D

a1

b1

c1

d1

e1

f1

g1

a0

b0

c0

d0

e0

f0

g0

0

0

0

0

13

0

1

1

0

0

0

0

1

1

1

1

0

0

1

0

0

0

1

15

0

1

1

0

0

0

0

1

0

1

1

0

1

1

0

0

1

0

17

0

1

1

0

0

0

0

1

1

1

0

0

0

0

0

0

1

1

19

0

1

1

0

0

0

0

1

1

1

1

0

1

1

0

1

0

0

21

1

1

0

1

1

0

1

0

1

1

0

0

0

0

0

1

0

1

23

1

1

0

1

1

0

1

1

1

1

1

0

0

1

0

1

1

0

25

1

1

0

1

1

0

1

1

0

1

1

0

1

1

0

1

1

1

27

1

1

0

1

1

0

1

1

1

1

0

0

0

0

1

0

0

0

29

1

1

0

1

1

0

1

1

1

1

1

0

1

1

1

0

0

1

31

1

1

1

1

0

0

1

0

1

1

0

0

0

0

1

0

1

0

33

1

1

1

1

0

0

1

1

1

1

1

0

0

1

1

0

1

1

35

1

1

1

1

0

0

1

1

0

1

1

0

1

1

1

1

0

0

37

1

1

1

1

0

0

1

1

1

1

0

0

0

0

1

1

0

1

39

1

1

1

1

0

0

1

1

1

1

1

0

1

1

1

1

1

0

41

0

1

1

0

0

1

1

0

1

1

0

0

0

0

1

1

1

1

43

0

1

1

0

0

1

1

1

1

1

1

0

0

1

Из табл. 4 видно, что один выход остается постоянным, то есть b1=c0=1, e0=0. Следовательно, минимизацию функций данного сегмента проводить не нужно, достаточно подать на этот сегмент напряжение питания через токоограничительный резистор. Оставшиеся выходы реализуем на мультиплексорах.

2.2 Выбор адресных переменных для мультиплексоров

Для выбора адресных переменных, удовлетворяющих минимальным аппаратным затратам, выполним минимизацию функций сегментов a1, c1, d1, e1, f1, g1, a0, b0, d0,  f0, g0 по 0 и 1. Переменные, сумма вхождений которых в минимизированные уравнения будет наибольшей, выбираются в качестве адресных.

На рис. 6—14 представлены минимизации функций сегментов a1, c1, d1, e1, f1, g1, a0, b0, d0, f0, g0 по 0 и 1.

Рис. 6. Минимизация функции сегмента a1=d1

Рис. 7. Минимизация функции сегмента c1

Рис. 8. Минимизация функции сегмента e1

Рис. 9. Минимизация функции сегмента f1

Рис. 10. Минимизация функции сегмента g1

Рис. 11. Минимизация функции сегмента a0

Рис. 12. Минимизация функции сегмента b0

Рис. 13. Минимизация функции сегмента d0=g0

Рис. 14. Минимизация функции сегмента f0

Исходя из рис. 6—14 для наглядности и удобства сравнения показателей составим сводную таблицу для определения адресных переменных (табл. 5).

Таблица 5. Сводная таблица для определения адресных переменных

Сегмент

Адресные переменные

Выбранные адресные переменные

A

B

C

D

a1=d1

5

4

2

0

А, В, С

c1

5

6

2

2

А, В, С

e1

6

6

2

2

А, В, С

f1

2

2

3

4

А, C, D

g1

2

2

0

0

А, В, С

a0

7

6

6

5

А, B, C

b0

8

6

8

7

А, C, D

d0=g0

8

10

8

9

А, В, D

f0

7

10

8

9

A, B, D

ИТОГО:

50

52

39

38

Из табл. 5 легко определить адресные переменные исходя из суммы вхождений в уравнения для каждого сегмента.


2.3 Выбор информационных переменных для мультиплексоров

На рис. 15—29 представлены минимизации функций сегментов a1, c1, d1, e1, f1, g1, a0, b0, d0, f0, g0 при выбранных адресных входах и реализация каждого сегмента на мультиплексоре К555КП7. Согласно минимизирующим картам [1] выбрана карта с адресными переменными А, В, С. Наложением этой карты на карту, полученную для функции а1 в подразделе 2.2 определяют соответствие маркировки столбцов и строк наличию 0 или 1 в клетках.

Рис. 15. Миниминимизация функции сегмента a1=d1 и реализация на К555КП7

Рис. 16. Миниминимизация функции сегмента c1 и реализация на К555КП7

Рис. 17. Миниминимизация функции сегмента e1 и реализация на К555КП7

Рис. 18. Миниминимизация функции сегмента f1 и реализация на К555КП7

Рис. 19. Миниминимизация функции сегмента g1 и реализация на К555КП7

Рис. 20. Миниминимизация функции сегмента a0 и реализация на К555КП7

Рис. 21. Миниминимизация функции сегмента b0 и реализация на К555КП7

Рис. 22. Миниминимизация функции сегмента d0=g0 и реализация на К555КП7

Рис. 23. Миниминимизация функции сегмента e0 и реализация на К555КП7

Исходя из рис. 15—23 для наглядности и удобства сравнения показателей составим сводную таблицу для определения информационных переменных (табл. 6).

Таблица 6. Сводная таблица для определения информационных переменных

Информационные входы

Сегмент

a1=d1

c1

e1

f1

g1

a0

b0

d0=g0

f0

D0

0

1

0

0

0

1

1

C

0

D1

0

1

0

0

0

1

B

1

1

D2

1

0

1

0

1

D

D3

1

0

1

0

1

1

1

C

0

D4

1

D

0

1

1

1

C

D5

1

1

0

0

1

1

1

D6

1

1

0

0

1

1

1

0

0

D7

0

1

0

1

1

0

1

C


2.4 Расчет токоограничительного резистора

На рис. 24 показано подключение индикаторов с общим катодом к прямому выходу мультиплексора К555КП7.

Рис. 24. Подключение индикаторов с общим катодом

Выбор мультиплексора К555КП7 обусловлен высоким быстродействием ИМС данной серии, низкой потребляемой мощностью и хорошей помехозащищенностью.

Индикаторы выберем типа АЛ304Г ( Uпр= 2.7В, Iпр= 8мА) подключением к мультиплексору по схеме с общим катодом (рис. 32).

Сопротивление токоограничительного резистора

Выберем резистор типа МЛТ из номинального ряда E24. Таким образом . Мощность, выделяемая на резисторе,


2.5 Моделирование схемы индикации в программном комплексе Multisim 10.1

Схема индикации на мультиплексорах, собранная в программном комплексе Multisim 10.1, изображена на рис. 25.

Рис. 25. Схема индикации на мультиплексорах в программном комплексе Multisim 10.1


3 Синтез автомата на программируемых логических устройствах

3.1 Управляющий генератор на мультиплексоре (замкнутая система)

При наличии сигналов с датчиков объекта схема управляющего генератора строится с использованием мультиплексора 16→1, т.к. объект по заданию имеет 16 датчиков. Схема данного УГ приведена на рис. 32.

Рис. 32. Схема УГ при наличии сигналов с датчиков объекта

В зависимости от комбинации сигналов ABCD вход мультиплексора соединяется с тем датчиком, двоичный адрес которого соответствует текущей комбинации. Затем сигнал с датчика уже в инверсном виде (тактовый импульс) снимается с выхода мультиплексора и поступает на вход АС.


3.2 Управляющий генератор при программном режиме (разомкнутая система)

При разомкнутой системе (сигналы с датчиков не поступают) управляющий генератор строится на базе двоичного счетчика с предустановкой, а ПЗУ используется для реализации комбинационной схемы, задающей относительное время такта. Схема данного УГ представлена на рис. 33.

Рис. 33. Схема УГ для разомкнутой системы

Прошивка ППЗУ осуществляется согласно табл. 7.

Таблица 7. Прошивка ППЗУ

A3 (A)

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

A2 (B)

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

A1 (C)

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

A0 (D)

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

Q3 (D8)

0

1

1

0

1

0

0

0

0

0

1

1

1

0

0

1

Q2 (D4)

1

0

0

0

0

0

0

1

1

1

1

1

0

1

0

1

Q1 (D2)

1

1

0

0

0

1

1

0

1

0

1

1

1

1

0

0

Q0 (D1)

0

1

1

1

0

0

1

0

0

1

0

1

0

1

0

0

Относительное

время такта

6

11

9

1

8

2

3

4

6

5

14

15

10

7

0

12

В зависимости от комбинации входных переменных ABCD ППЗУ DD1 вырабатывает выходные переменные D8D4D2D1. Двоичный код D8D4D2D1 – относительное время такта УГ. Минимальное реальное время такта задается генератором тактовых импульсов G.


3.3 Автомат состояния на ПЛМ

Зависимость сигналов B4, B3, B2, B1 (текущее состояние АС) от A, B, C, D (предыдущее состояние АС) и X1, X2 (задают режим работы АС) приведена в табл. 8. Схема автомата состояний представлена на рис. 34.

Таблица 8. Таблица истинности для ПЛМ

A

B

C

D

X2

X1

B1

B2

B3

B4

A

B

C

D

X2

X1

B1

B2

B3

B4

0

0

0

0

0

0

0

0

0

1

0

0

0

0

1

0

0

0

1

0

0

0

0

1

0

0

0

0

1

0

0

0

0

1

1

0

0

1

1

0

0

0

1

0

0

0

0

0

1

1

0

0

1

0

1

0

1

0

1

1

0

0

1

1

0

0

0

1

0

0

0

0

1

1

1

0

0

0

0

0

0

1

0

0

0

0

0

1

0

1

0

1

0

0

1

0

1

0

0

1

0

1

0

1

0

0

0

1

1

0

0

1

0

1

1

0

1

0

1

0

0

1

1

0

0

0

0

1

1

1

0

1

1

0

1

0

0

1

0

0

0

1

1

1

0

0

1

0

0

0

0

1

1

1

1

0

1

1

1

0

1

0

0

0

0

0

1

0

0

1

1

0

0

0

1

0

0

1

0

1

1

0

0

1

0

0

1

0

1

0

1

0

0

1

1

0

1

1

0

1

1

0

1

0

0

0

1

0

1

1

1

0

1

0

1

0

0

1

1

1

1

0

1

1

0

0

1

1

0

0

1

0

1

1

1

0

0

0

0

1

1

1

0

0

0

0

1

1

0

1

1

1

0

0

1

0

1

1

1

1

1

1

0

1

0

0

1

1

1

0

1

1

0

1

1

0

1

1

0

0

1

1

1

0

0

0

1

1

1

1

1

1

1

0

1

0

0

0

1

1

1

1

1

1

0

0

0

0

0

0

1

1

1

1

1

0

1

0

0

0

A

B

C

D

X2

X1

B1

B2

B3

B4

A

B

C

D

X2

X1

B1

B2

B3

B4

0

0

0

0

0

1

1

1

1

1

0

0

0

0

1

1

0

0

0

1

0

0

0

1

0

1

0

0

0

0

0

0

0

1

1

1

0

0

1

1

0

0

1

0

0

1

0

0

0

1

0

0

1

0

1

1

0

1

0

1

0

0

1

1

0

1

0

0

1

0

0

0

1

1

1

1

0

1

1

1

0

1

0

0

0

1

0

0

1

1

0

1

0

0

1

1

1

0

0

1

0

1

0

1

0

1

0

1

0

0

0

1

0

1

1

1

1

0

1

1

0

1

1

0

0

1

0

1

0

1

0

1

1

0

1

1

1

1

0

1

0

1

1

1

0

1

0

1

1

0

0

1

1

1

1

1

1

1

1

1

1

0

0

0

0

1

0

1

1

1

1

0

0

0

1

1

0

0

0

0

1

0

0

1

0

1

1

0

0

0

1

0

0

1

1

1

0

0

1

0

1

0

1

0

0

1

1

0

0

1

1

0

1

0

1

1

0

1

0

0

1

0

1

1

0

1

1

0

1

0

1

0

1

1

1

1

0

1

1

0

1

1

0

0

0

1

1

0

1

1

1

1

0

0

1

1

1

0

0

0

1

1

0

1

0

1

1

1

0

0

1

1

0

1

1

1

1

0

1

0

1

1

1

0

0

1

1

1

0

1

1

1

1

0

1

1

1

1

0

0

1

1

1

1

0

1

1

1

1

0

1

1

1

1

1

1

1

1

1

0

Рис. 34. Логическая схема АС на ПЛМ

Произведем минимизацию входных переменных B1,B2,B3,B4(X2,X1,A,B,C,D) методом минимизирующих карт (рис. 35).

Рис. 35. Минимизирующие карты АС на ПЛМ

Записывая уравнения по каждой из восьми карт, получим:

B1= ;

B2= ;

B3= ;

B4= ;

Исходя из полученных уравнений составим таблицу для прошивки ПЛМ (табл. 9).

Таблица 9. Таблица прошивки ПЛМ

Входы ПЛМ

Выходы ПЛМ

15

7

6

5

4

3

2

1

0

7

6

5

4

3

2

1

0

Входные переменные

Выходные функции

A

B

C

D

X2

X1

B4

B3

B2

B1

1

X

X

X

X

L

H

H

H

L

L

-

-

-

-

-

-

А

-

2

X

X

X

X

L

L

L

H

H

L

-

-

-

-

-

-

А

-

3

X

X

X

X

H

H

H

L

Х

L

-

-

-

-

-

-

А

-

4

X

X

X

X

H

Х

Х

H

H

L

-

-

-

-

-

-

А

-

5

X

X

X

X

H

L

Х

Х

H

L

-

-

-

-

-

-

А

-

6

X

X

X

X

H

Х

H

Х

Х

L

-

-

-

-

-

-

А

-

7

X

X

X

X

L

Х

Х

Х

L

H

-

-

-

-

-

-

A

-

8

X

X

X

X

Х

H

Х

Х

H

H

-

-

-

-

-

-

A

-

9

X

X

X

X

Х

L

H

H

L

L

-

-

-

-

-

А

-

-

10

X

X

X

X

Х

L

H

L

H

L

-

-

-

-

-

А

-

-

11

X

X

X

X

Х

H

H

L

L

L

-

-

-

-

-

А

-

-

12

X

X

X

X

Х

H

Х

H

H

L

-

-

-

-

-

А

-

-

13

X

X

X

X

Х

H

L

Х

Х

L

-

-

-

-

-

А

-

-

14

X

X

X

X

Х

H

Х

Х

L

H

-

-

-

-

-

А

-

-

15

X

X

X

X

Х

Х

L

Х

H

H

-

-

-

-

-

А

-

-

16

X

X

X

X

Х

Х

L

H

L

L

-

-

-

-

А

-

-

-

17

X

X

X

X

Х

Х

H

L

Х

L

-

-

-

-

А

-

-

-

18

X

X

X

X

Х

Х

L

H

H

L

-

-

-

-

А

-

-

-

19

X

X

X

X

Х

Х

H

Х

L

H

-

-

-

-

А

-

-

-

20

X

X

X

X

Х

Х

Х

H

H

H

-

-

-

-

А

-

-

-

21

X

X

X

X

Х

Х

L

L

Х

L

-

-

-

А

-

-

-

-

22

X

X

X

X

Х

Х

H

L

Х

L

-

-

-

А

-

-

-

-

23

X

X

X

X

Х

Х

Х

H

L

H

-

-

-

А

-

-

-

-

24

X

X

X

X

Х

Х

Х

Х

H

H

-

-

-

А

-

-

-

-


4 Электрический расчет блока питания

4.1 Расчет источника питания на микросхеме КР142ЕН5А

Блок питания должен быть хорошо отфильтрован и стабилизирован. Поэтому применим схему двухполупериодного выпрямителя со стабилизатором на интегральной микросхеме КР142ЕН5А (рис. 36). Выходное напряжение для питания микросхем серии 555 (155), применяемых в разработке цифрового логического устройства, .

Рис. 36. Схема электрическая принципиальная блока питания

4.2 Расчет потребляемой нагрузки всех составных частей ЦЛУ

Суммарный потребляемый ток приведен в табл. 10.

Таблица 10. Потребляемая нагрузка блоков ЦЛУ

Блок

Микросхема

Кол-во, шт

Iпотр., мА

КСУ

К555ЛЕ1

2

10,8 (2x5,4)

К555ЛЕ4

2

13,6 (2x6,8)

Схема индикации

К555КП7

9

72 (9x8)

АЛ304Г

2

70 (2x35)

Автомат состояния на ПЛМ

К556РТ1

1

170

К555ТМ8

1

18

Управляющий генератор (разомкнутая система)

К155РЕ3

1

110

К555ТР2

1

7

К555ИЕ7

1

30

К555ЛЕ4

1

6,8

КР1006ВИ1

1

6

Суммарный ток Id

514,2

4.3 Расчет параметров трансформатора

Минимальное значение вторичного переменного напряжения

где - коэффициент схемы выпрямления, ;

- коэффициент, учитывающий возможно понижения напряжения сети, ;

- выпрямленное напряжение, принято , так как для DA1 не менее .

Ток вторичной обмотки трансформатора

где - коэффициент фазного тока, ;

- коэффициент, учитывающий отклонение формы тока от прямоугольной, .

Расчетная типовая мощность

где - коэффициент типовой мощности трансформатора, ;

- коэффициент схемы выпрямления, .

4.4 Выбор диодов

Среднее значение выпрямленного тока через диод

где - коэффициент среднего тока вентиля, ;

- коэффициент, учитывающий неравномерность распределения тока по фазам, .

Диод выбирается из условия ( - номинальный ток диода).

Амплитуда импульсного рабочего напряжения

,

где - коэффициент обратных напряжений, .

.

Из справочника выберем выпрямительный блок КЦ410Б (100 В, 3 А).

4.5 Параметры фильтра

Емкости и тип сглаживающих конденсаторов

С1 – К50-35-16В-2200 мкФ;

С4 – К50-35-16В-100 мкФ.

Емкости и тип блокирующих конденсаторов

С2, С3 – К50-17-1-Н90-0,22 мкФ.

4.6 Проверка рассчитанного блока питания моделированием

Вычислим сопротивление нагрузки

Выберем резистор типа МЛТ из номинального ряда E24. Таким образом .

Выберем микросхему LM7805CT в качестве аналога стабилизатора КР142ЕН5А, а 3N258 в качестве аналога выпрямительного блока КЦ405Е. Моделирование будем проводить в программном комплексе Multisim 10.1 (рис. 37).

Рис. 37. Схема блока питания в Multisim 10.1

Рис. 38. График выходного напряжения

Как видно из рис. 38 уровень выходного сигнала при номинальной нагрузке составляет 4,997 В, что укладывается в 5% интервал, т.е. .


5 Электрический расчет тактового генератора

5.1 Расчет тактового генератора на микросхеме КР1006ВИ1

Для реализации тактового генератора воспользуемся таймером КР1006ВИ1. Таймер имеет режим автогенерации (рис. 39). Рассчитаем необходимые параметры.

Зная время цикла и относительное время каждого такта из задания, можно рассчитать период генерирования импульсов, с:

                                 (5.1)

Длительность импульса, с

,                   (5.2)

где , , - параметры схемы включения.

Длительность паузы, с

.                    (5.3)

Из соотношений (5.2), (5.3) получим период такта генератора, с

.                  (5.4)

Выходная частота генератора, Гц

                  (5.5)

Рис. 39. Включение КР1006ВИ1 в режиме автогенерации

При проектировании тактового генератора необходимо получить равные по длительности импульсы и паузы, то есть

.                (5.6)

Для этого необходимо подобрать .

Пусть из ряда Е24 мкФ, тогда из уравнения (5.5) получим

Ом.                (5.7)

Для того, что соблюдалось неравенство , выберем из ряда Е24 сопротивление небольшого номинала Ом, тогда из уравнения (5.7) получим

Ом.               (5.8)

Выберем из ряда Е24 значение сопротивления кОм. Пусть емкость блокирующего конденсатора Сф из ряда Е24 равна 0,01 мкФ.

5.2 Проверка рассчитанного тактового генератора моделированием

Моделирование тактового генератора (рис. 40) и анализ выходного сигнала (рис. 41) производим в программном комплексе Multisim 10. Выберем микросхему LM555CN в качестве аналога КР1006ВИ1.

Рис. 40. Схема тактового генератора

Рис.41. Выходной сигнал тактового генератора

6 Компоновка печатной платы КСУ

Разводка печатной платы выполнена в программе Sprint-Layout 5.0 (рис. 42).

а)

б)

Рис. 42. Печатная плата КСУ: а – сторона монтажа; б – сторона пайки

На рис. 43 изображено расположение микросхем на печатной плате

Рис. 43. Расположение микросхем на печатной плате

Материал: стеклотекстолит типа СФ


Список литературы

  1. Арсеньев Ю.Н., Журавлев В.М. Проектирование систем логического управления на микропроцессорных средствах:  Учеб. пособие. – М.: Высш. шк., 1991.
  2. Савельев П.В.,  Конякин В.В. Функционально-логическое проектирование БИС / Под ред. Г.Г. Казенкова. – М.: Высш. шк., 1990.
  3. Градусов В.Н., Терехов А.И. Основы теории и расчет цифровых логических автоматов: Учебное пособие.; Иван. гос. энерг. ун-т. – Иваново, 2001.
  4. Воробьев Н.И. Проектирование электронных устройств: Учеб. пособие. – М.: Высш. шк., 1989.
  5. Мальцев П.П., Долидзе Н.С., Критенко М.И. Цифровые интегральные микросхемы: Справочник. – М.: Радио и Связь, 1994.
  6. Мальцева Л.А.и др. Основы цифровой техники. – М.: Радио и связь, 1987.
  7. Новаченко И.В., Петухов В.М., Блудов И.П., Юровский А.В. Микросхемы для бытовой радиоаппаратуры. Справочник. – М.: Радио и связь, 1989.
  8. Александров К.К., Кузьмина Е.Г. Электротехнические чертежи и схемы. –М.: Энергоатомиздат, 1990.
  9.  Product specification. Philips Semiconductors Programmable Logic Devices. PLS100/PLS101
  10.  Product specification. PAL16R8 Family. 20-Pin TTL Programmable Array Logic.
  11.  Datasheets. NE555, SA555, SE555 – Precision timers. Texas Instruments.
  12. Методические указания к выполнению инженерных расчетов в курсовом проектировании для студентов специальности 200400. №1500.
  13. Пароль Н.В., Кайдалов С.А. Знакосинтезирующие индикаторы и их применение: Справочник. – М.: Радио и связь, 1988.




1. грузинских отношений ldquo;Грузины народ воинственный
2. Правила поведения в театре, на концерте, в кино
3. тема состоящая из множества структурно организованных взаимосвязанных и взаимодействующих элементов.html
4. 47
5. Основные элементы и процесс формирования имижда
6. бюджетные права для характеристики компетенции государства его территориальных подразделений и соответс
7. Сочи Есть возможность получить билеты на соревнования на которые нет доступных билетов строго по номиналу
8. ОТЕЧЕСТВЕННАЯ ИСТОРИЯ Уфа 2003 Министерство образования Российской
9. Управленческий учет предприятия
10. дослідної роботи кафедр факультету за 2012 рік
11. Политическая история Венгрии в XII - начале XIII в
12. тема 2Налоговые органы их функции и права 3
13. Поняття підприємства
14. Останнє складається з трьох послідовних етапів- статистичне спостереження зведення та групування зібраних
15. неба І хоча за часів рабовласництва і феодалізму панувала ідея про права вільних людей її розвиток спри
16. Религия Германии.html
17. тематики Выделяют 5 этапов
18. Дом у кладбища в полной мере оправдывает звания коими наградили его автора современники и потомки
19. тема Сперматогенный эпителий Канальцы и протоки Анатомия органов
20. Понятие экономической кибернетики