Будь умным!


У вас вопросы?
У нас ответы:) SamZan.net

Перспективная элементная база Москва 2010 г

Работа добавлена на сайт samzan.net:

Поможем написать учебную работу

Если у вас возникли сложности с курсовой, контрольной, дипломной, рефератом, отчетом по практике, научно-исследовательской и любой другой работой - мы готовы помочь.

Предоплата всего

от 25%

Подписываем

договор

Выберите тип работы:

Скидка 25% при заказе до 2.6.2024

72

Московский государственный институт электронной техники

Ю. Ф Адамов

Курс лекций

«Перспективная элементная база»

Москва 2010 г.


Глава 1. Возможности и ограничения нанометровых полупроводниковых технологий

1.1. Физические эффекты, ухудшающие характеристики нанометровых МОП транзисторов

Установлены две группы ограничений на уменьшение размеров МОП транзисторов. Первая группа связана с увеличением токов утечки. Вторая группа определяется требованием надежного функционирования изделий в течение длительного времени. Рассмотрим кратко основные физические эффекты, ограничивающие уменьшение размеров МОП транзисторов.

1.1.1. Зависимость порогового напряжения от длины канала

Для создания инверсного слоя в канале «длинного» транзистора на затвор надо подать напряжение Vn, а в подложке образуется область пространственного заряда (ОПЗ) qNadWL, где d – ширина ОПЗ в подложке. В транзисторе с «коротким» каналом значительную часть пространства под затвором уже занимает ОПЗ, образованная p-n переходами истока и стока, рис. 1.1. Для создания инверсного слоя потенциал затвора должен сформировать заряд в подложке меньшей величины qNadW(L-), где  – поправка на уменьшение эффективной длины затвора. Пороговое напряжение при этом уменьшается, а подпороговый ток увеличивается. Величина поправки зависит от емкости окисла, толщины легированных слоев истока и стока, концентрации примеси в подложке.

Изменение порогового напряжения

                                      Vn = -QL/Cок · Sз                                                 (1.1)

где ΔQL – изменение заряда в подложке за счет влияния истока и стока, Sз – площадь затвора, CОК – удельная емкость затвора.

1.1.2. Зависимость порогового напряжения от ширины канала

В поперечном сечении МОП транзистора толщина подзатворного окисла увеличивается до толщины изолирующего окисла, рис. 1.2. Периферийное поле затвора индуцирует в подложке дополнительные заряд QW. Для дополнительного заряда требуется дополнительное напряжение на затворе. Изменение порогового напряжения

                               Vn = QW/Cок · Sз                                    (1.2.)                                     Эффекты узкого и короткого каналов действуют в противоположных направлениях и могут компенсировать друг друга для транзисторов с размерами, близкими к квадрату (W≈L).

1.1.3. Ток в подложке, ограниченный пространственным зарядом

При анализе влияния размерных эффектов на пороговое напряжение предполагалось, что напряжение на стоке Vс мало (<0,1В). С увеличением Vс в нанометровых приборах ОПЗ стока смыкается с ОПЗ истока. Электрическое поле стока проникает в ОПЗ истока и снижает потенциальный барьер для носителей заряда. В результате происходит увеличение инжекции электронов из истока в канал и рост подпорогового тока. Соответственно, происходит уменьшение порогового напряжения с ростом напряжения на стоке. Изменение порогового напряжения линейно зависит от напряжения на стоке

                                              Vn = - º ∙ Vс   ,                                              (1.3)

где ºстатический коэффициент обратной связи. Для субмикронных транзисторов ток, ограниченный пространственным зарядом, определяет выходное сопротивление транзисторов.

1.1.4. Ток утечки затвор-сток при высоком напряжении на стоке

Пропорциональное уменьшение размеров требует и уменьшения толщины подзатворного диэлектрика. При этом напряженность электрического поля под затвором на границе области стока возрастает настолько, что становится возможным туннелирование электронов из затвора в сток. Кроме этого, легированная область стока обедняется основными и обогащается неосновными носителями. Туннельные свойства носителей таковы, что ток электронов многократно превышает ток дырок. Дырки, также как электроны, инжектируются в подзатворный диэлектрик и дают вклад в ток затвора. Однако дырки задерживаются в окисле и создают в нем объемный положительный заряд. Этот заряд нестабильный, однако, времена нарастания заряда в окисле и его релаксации многократно превышают времена электрических процессов в схеме. То есть, параметры транзисторов меняются во времени, а работоспособность схемы при этом может нарушаться.

1.1.5. Туннельный ток утечки сток-подложка

Для транзисторов с длиной канала менее 0,25 мкм применяют специальные профили легирования подложки с целью уменьшения ширины ОПЗ. В стоковом p-n переходе создается электрическое поле высокой напряженности. Перепад потенциала в 1÷2 В происходит на расстоянии 10÷20 нм. При этих условиях возникает туннельная составляющая тока утечки. Оценки показывают, что плотность туннельного тока 1 нА/мкм достигается при напряженности электрического поля в переходе сток-подложка 2106В/см.

1.1.6. Квантование энергии носителей в инверсном слое

В МОП транзисторах с толщиной подзатворного диэлектрика несколько нанометров, электрическое поле локализует носители в инверсном слое толщиной также несколько нанометров. По законам квантовой механики пространственное квантование элементарных частиц ведет к появлению квантования по энергии. Причем, самый низкий энергетический уровень для электронов не совпадает с дном зоны проводимости. Симметричная картина реализуется для дырок. Таким образом, квантовые эффекты влияют на поверхностную плотность заряда в инверсном слое, рис. 1.3. Эти эффекты препятствуют приближению носителей к границе окисел-кремний и увеличению их концентрации. Эффективная толщина окисла при электрических  измерениях становится больше физической толщины

                                                                                     (1.4)

T'эф и Tфиз – эффективная и физическая толщина окисла, ок, Si – диэлектрическая проницаемость окисла и кремния, Z – смещение пика концентрации носителей. Величина Z≈1 нм для электронов сравнима с толщиной подзатворного диэлектрика (1,5÷2 нм) для транзисторов предельных размеров. Соответственно, уменьшается удельная емкость затвора и расчетная крутизна транзистора.

1.1.7. Обеднение поликремния в затворе

В самосовмещенном процессе формирования МОП– транзисторов поликремний затвора легируется одновременно с областями истока и стока. В активном режиме на границе с окислом образуется инверсный слой как со стороны канала, так и со стороны затвора. В NМОП транзисторе в канале будут электроны, в инверсном слое затвора дырки. Электроны перемещаются в канале, дрейфуя в электрическом поле между областями истока и стока. Дырки в инверсном слое затвора накапливаются за счет туннелирования и термогенерации. На высоких частотах заряд дырок в поликремнии затвора не успевает изменяться с частотой сигнала. Управляющая емкость затвора уменьшается. Эффективная толщина диэлектрика увеличивается примерно на 1 нм. Концентрация активного бора в поликремниевом затворе PМОП-транзистора меньше концентрации мышьяка в затворе NМОП, а ширина ОПЗ больше. Соответственно, эффективная толщина диэлектрика в PМОП также будет больше. На усредненную ширину ОПЗ в поликремнии влияет не только средняя концентрация примеси, но и структура пленки, и перераспределение примеси на границе зерен. Все эти процессы увеличивают разброс электрических параметров.

1.1.8. Снижение подвижности в инверсном слое при увеличении напряженности поперечного электрического поля

С уменьшением толщины окисла крутизна проходной характеристики МОП транзисторов должна увеличиваться обратно пропорционально толщине  подзатворного диэлектрика – tок. Однако наблюдаемая зависимость гораздо слабее. В первую очередь это связано с уменьшением подвижности носителей в поперечном электрическом поле, создающем инверсный слой. С увеличением напряженности поля возрастает концентрация носителей в инверсном слое, одновременно усиливается взаимодействие между ними, уменьшается длина свободного пробега. При увеличении напряженности поля со 150 КВ/см до
1500 КВ/см подвижность электронов снижается с 700 до 150 см
2/Всек, а подвижность дырок со 140 до 35 см2/Всек.

1.1.9. Изменение энергетических состояний при деформациях кристалла кремния

Основной причиной деформаций кристалла является разница коэффициентов температурного расширения кремния и изолирующих слоев двуокиси кремния или нитрида кремния. Температуры формирования слоев (до 10000С) и эксплуатации микросхем (до минус 600С) значительно отличаются. На границе полупроводника и диэлектрика возникает механическое напряжение.

Деформация кристаллической решетки, вызванная механическим напряжением, проявляется в изменении энергетической диаграммы электронных состояний полупроводников. На рис. 1.4. схематически показано смещение границ валентной зоны и зоны проводимости кремния при деформациях кристалла в плоскости (по двум осям) и в объеме (по трем осям). При объемном сжатии кремния границы энергетических зон смещаются вверх, и область деформации будет притягивать к себе дырки. При объемном растяжении границы зон смещаются вниз, и область деформации притягивает электроны. При сжатии в плоскости, смещение зон вверх сопровождается расщеплением энергетических уровней и уменьшением ширины запрещенной зоны (ШЗЗ). При растяжении в плоскости смещение зон вниз также сопровождается уменьшением ШЗЗ.

Смещение границ валентной зоны и зоны проводимости приводит к перераспределению зарядов в структуре и неконтролируемому изменению вольт-амперных характеристик полупроводниковых приборов.

1.2. Деградация характеристик и отказы МОП-транзисторов с нанометровыми размерами

Носители, ускоренные продольным электрическим полем в канале, способны преодолеть энергетический барьер и инжектироваться в окисел. Носители заряда в аморфном окисле обычно связаны с ловушками в запрещенной зоне. Нестабильный подвижный заряд ловушек в окисле меняет  пороговое напряжение транзисторов и может вызвать параметрический отказ схемы. Допустимая с точки зрения надежности величина продольного электрического поля в канале составляет 1,5106 В/см. Оптимизация физической структуры и уменьшение напряжения питания позволяют исключить влияние инжекции носителей в окисел.

Зависящий от времени диэлектрический пробой окисла связан с его термодинамической нестабильностью. Аморфная структура окисла стремится перестроиться с образованием регулярных структур. Структуры выстраиваются в направлении электрического поля. Если цепочка валентных связей между атомами кремния «прошивает» окисный слой, то появляется проводящий канал. Температура в области канала повышается, реакция перестройки структуры ускоряется, сопротивление падает, пробой приобретает лавинообразный характер. Энергия активации перестройки валентных связей достаточно велика. Для перестройки связей требуются внешние воздействия. Первый путь передачи энергии электрического поля колебаниям атомов окисла связан с механизмом туннелирования носителей с участием электронных состояний в окисле по модели Фаулера-Нортгейма. Не все носители проходят сквозь окисел. Часть их рекомбинируют с передачей избыточной энергии колебаниям молекулярной решетки (фононам). Энергия, необходимая для образования цепочек валентных связей между атомами кремния, определяется зарядом туннелирующих носителей на единицу площади. Чем толще окисел, тем больший заряд требуется для пробоя. Температура слабо влияет на величину заряда, вызывающего пробой МОП структуры. Второй путь передачи энергии связан с электрическими свойствами структурных дефектов окисла. В деформированной аморфной структуре окисла углы между валентными связями изменяются от 1200 до 1800. Равновесное значение для кристаллической решетки – 1500. Неравновесные деформированные связи имеют дипольный момент, взаимодействующий с внешним электрическим полем. Атомы приобретают потенциальную энергию. Энергия активации, необходимая для перестройки структуры валентных связей, снижается на величину этой потенциальной энергии. В процессе перестройки в электрическом поле преобладает механизм образования кислородных вакансий и простых связей между атомами кремния. Время наработки прибора до пробоя определяется формулой Аррениуса

                                          ,                                          (1.5)

H – энергия активации процесса, Eок – напряженность электрического поля в окисле,   – коэффициент влияния электрического поля. Коэффициент  – уменьшается с ростом температуры. Для нормальной температуры
H3 см/МВ. Надежность МОП транзисторов определяется максимальным приложенным напряжением. Для срока службы 20 лет при температуре 1250С напряжение питания КМОП схемы устанавливается соотношением

                                                                          (1.6)

При толщине окисла менее 5 нм преобладает второй механизм перестройки структуры валентных связей.

1.3. Вариации параметров и дефекты в микросхемах

Анализ выхода годных (ВГ) микросхем в полупроводниковом производстве проводится много лет. Накоплен огромный опыт, позволяющий предсказать ВГ изделий еще на этапе их разработки. В традиционных технологиях с микронными и субмикронными размерами элементов ВГ определяется простой формулой

                                                ВГ = y0×y1   ,                                                 (1.7)

где y0 – выход годных по критерию параметрического брака, y1 – выход годных по критерию катастрофических отказов. Показатель y0 соответствует той части площади пластины, на которой параметры элементов соответствуют требованиям проекта. Обычно эта часть занимает всю пластину за исключением краевой зоны шириной в несколько миллиметров. Показатель y1 определяется размером кристалла Sкр и плотностью поражающих дефектов (D0). Для величины y1 > 0,5 статистика брака хорошо описывается формулой Пуассона.

                                                                                        (1.8)

Для y1 £ 0,5 необходимо учитывать неоднородность распределения дефектов на пластине. Отрицательное биномиальное распределение описывает неоднородность распределения дефектов дополнительным параметром a.

                                                                                        (1.9)

Плотность поражающих дефектов зависит от минимальных размеров элементов как

                                           ,                                                           (1.10)

где х – минимальный размер элементов, AD – показатель, характеризующий уровень чистоты производственного процесса. Показатели AD, y0 зависят от характеристик технологического оборудования, чистоты используемых материалов. На показатель y1  влияют еще площадь кристалла и минимальные размеры элементов. Взаимное расположение элементов микросхемы на кристалле и размеры этих элементов учитываются только при проектировании высокоточных аналоговых блоков на уровне эффектов второго порядка.

Вариации параметров физической структуры связаны с неоднородностью параметров процессов в технологических установках. Поражающие дефекты – это посторонние включения в технологических материалах (пыль) или дислокации в кристалле кремния. Совершенствование технологических процессов и оборудования, очистка материалов позволяют получать очень высокий выход годных кристаллов (>90%) с размерами до 200 мм2.

При переходе к размерам элементов менее 100 нм происходит качественное изменение характера дефектов. Основной вклад в потери кристаллов вносят вариации параметров структуры, обусловленные физическими и химическими процессами, то есть систематические отказы. Причем эти вариации сами по себе являются не браком, а всего лишь характеристикой физической структуры микросхемы. Отказы происходят при случайном совпадении неблагоприятных отклонений в пределах реальной статистики.

Есть несколько основных причин этих вариаций:

- Неоднородность распределения легирующих примесей и структурных дефектов в наноразмерных объемах полупроводника.

- Колебания толщины диэлектрических покрытий в пределах одного - двух молекулярных слоев (~1 нм).

- Зернистая структура металлических и поликремниевых пленок.

- Дифракционные эффекты и отражение света от подложки при фотолитографии. Отражение и рассеяние электронов при электронной литографии.

- Нелокальный характер травления материалов при планаризации пластин.

Борьба с этими эффектами ведется по трем основным направлениям:

- Усовершенствование физических структур микросхем, технологических процессов и оборудования.

- Развитие методов проектирования, снижающих взаимное влияние элементов физической структуры.

- Введение новых ограничений на взаимное расположение элементов структуры.

Нелокальный характер технологических процессов проявляется при фотолитографии, электронной литографии и химико-механической полировке пластин. При этом появляется взаимное влияние на размеры соседних элементов. Это явление называется – «эффекты близости» при переносе изображения. «Эффекты близости» моделируются и могут быть частично скорректированы.

Хотя параметры элементов являются статистическими величинами, но их вариации коррелированы с их взаимным расположением. Зона взаимного влияния элементов достигает одного микрометра. Зона коррелированных вариаций от 1 до 3 миллиметров. Коррелированный характер вариаций параметров элементов позволяет управлять выходными характеристиками изделия конструктивными и схемотехническими средствами. При работе с нанометровыми технологиями требуется применение более сложных правил проектирования, учитывающих взаимное расположение и связь параметров элементов.

1.4. Кремниевые гетероструктуры для наноразмерных транзисторов

1.4.1. Гетероструктурные технологии в микроэлектронике

В соответствии с принципом пропорциональной миниатюризации уменьшение планарных размеров полупроводниковых приборов требует соответствующего уменьшения толщины структурных слоев, образующих данные приборы. Для транзисторов с планарными размерами в десятки нанометров требуются структурные слои толщиной в единицы нанометров. Традиционными способами легирования полупроводников создать нанометровые слои с требуемыми параметрами не удается. Контактный потенциал, создаваемый градиентом концентрации легирующей примеси, не обеспечивает изоляцию нанометровых структурных слоев. Причем, требуется не только гальваническая, но и высокочастотная (электромагнитная) изоляция. Рабочие частоты наноразмерных транзисторов достигают сотен гигагерц. Нанометровые промежутки между электродами не должны модулироваться приложенными напряжениями.

Современные технологии не обеспечивают высокое качество сплошных нанометровых кремниевых слоев на изолирующей подложке, необходимое для создания микросхем с миллиардами транзисторов на кристалле. Реальной альтернативой может стать локальная полная диэлектрическая изоляция полупроводниковых приборов. Сейчас активно разрабатываются нанометровые транзисторные структуры с локальной полной изоляцией. При этом используются методы гетероэпитаксии и локального анизотропного травления материалов. Транзисторные структуры из планарных многослойных превращаются в трехмерные.

Какие свойства гетероструктур обеспечивают им преимущества при создании наноразмерных транзисторов? Во-первых, плотность электрических зарядов в гетеропереходе определяется плотностью атомов основного вещества, образующего кристаллическую решетку. Это примерно в 100 раз больше максимальной плотности зарядов примесных атомов. Соответственно возрастает напряженность электрического поля и уменьшается ширина  области пространственного заряда. Появляется возможность создания электронейтральных полупроводниковых слоев  нанометровой толщины. Во-вторых, разная ширина запрещенной зоны (ШЗЗ) в слоях гетероструктуры обеспечивает повышение концентрации, как основных, так и неосновных носителей в слое с наименьшей ШЗЗ. Соответственно, преобладает инжекция носителей из слоя с большей ШЗЗ в слой с меньшей ШЗЗ вне зависимости от концентрации примеси и толщины слоя. В-третьих, нанометровые размеры слоев приводят к квантованию энергии свободных носителей, уменьшению размерности квантовой электронной системы, уменьшению рассеяния носителей на фононах и примесях и соответствующему увеличению подвижности. В-четвертых, различие физических и химических свойств слоев, образующих гетероструктуру, позволяет создавать новые полупроводниковые приборы с нанометровыми размерами структурных областей.

Быстрое развитие гетероструктурной электроники на основе кремния началось с освоения процесса газофазной эпитаксии при сверхнизком давлении. Высокая производительность эпитаксиального оборудования, низкая стоимость гетероструктур, возможность использования процессов и оборудования для обычных кремниевых микросхем позволили быстро достичь впечатляющих результатов. Рабочие частоты гетероструктурных микросхем на основе кремния в несколько раз выше, чем у обычных кремниевых микросхем, при сопоставимой стоимости. При разработке кремниевых приборов следующего поколения особое внимание уделяется технологиям с использованием гетероструктур.

1.4.2. Твердые растворы SiGe, SiC, SiGeC

Кремний и германий образуют непрерывный ряд твердых растворов. Период кристаллической решетки кремния a=5,431 A, германия – a= 5, 646 A. В равновесной структуре период решетки твердого раствора изменяется почти линейно с изменением молярной доли входящих компонентов. Тонкий эпитаксиальный слой SiGe на Si -подложке имеет псевдоморфную структуру с разными периодами решетки в плоскости пластины и перпендикулярно плоскости пластины. Слой испытывает двухосевое сжатие со стороны подложки и период решетки уменьшается. Соответственно, слой кремния на границе с гетеропереходом испытывает усилие растяжения. Толщина псевдоморфного слоя ограничена пределом текучести. Напряжения релаксируют с образованием дислокаций.

Кремний и углерод образуют единственное устойчивое химическое соединение – SiC – карбид кремния. Предельная равновесная концентрация углерода в твердом растворе 2%. Псевдоморфные эпитаксиальные слои твердого раствора Si1-yCy могут содержать до 3% углерода. Период решетки твердого раствора кремний-углерод меньше, чем у кремния. Эпитаксиальные слои Si1-yCy на кремниевой подложке испытывают двухосевое растяжение. Из-за большой разницы в размерах атомов углерода и кремния изменение периода кристаллической решетки твердого раствора Si1-yCy с ростом молярной доли углерода – y примерно в 10 раз больше, чем для раствора Si1-xGex. Соответственно, напряжения в структуре эпитаксиального слоя кремний-углерод на кремниевой подложке будут также примерно в 10 раз больше.

Эпитаксиальные слои Si1-yCy толщиной до 100 нм с предельной концентрацией углерода выдерживают термообработки до 850°С. При больших температурах концентрация углерода в твердом растворе уменьшается пропорционально времени термообработки. Энергия активации процесса разложения неравновесного твердого раствора – 3,2 эВ. Напряжения в эпитаксиальной структуре снимаются путем образования преципитатов углерода и нанокристаллов карбида кремния без роста дислокаций. Слой твердого раствора сохраняет период кристаллической решетки подложки (кремния).

Использование трехкомпонентных твердых растворов Si1-x-yGexCy  позволяет управлять напряжениями в эпитаксиальной структуре. Полная компенсация напряжений в гетероструктуре на кремниевой подложке достигается при отношении молярных долей германия и углерода .

Напряжения в гетероструктуре SiGe на подложке Si нарастают с увеличением толщины эпитаксиального слоя и молярной доли Ge. Процесс упругой деформации слоя ограничен пределом текучести материала. При достижении предельных значений напряжений (1¸2 ГПа) происходит пластическая деформация слоя с образованием дислокаций. Для скрытых слоев SiGe, покрытых слоем Si, максимальная (критическая) толщина бездислокационного слоя SiGe увеличивается.

1.4.3. Легирующие примеси и их диффузия

Легирующие примеси для кремния, германия и их твердых растворов одинаковы – это мышьяк, сурьма, фосфор и бор. Растворимость этих примесей в германии значительно меньше , чем в кремнии. Растворимость примесей n-типа в твердых растворах Si1-xGex пропорциональна молярной доли кремния. При легировании эпитаксиального слоя бором в процессе роста SiGe наблюдается аномально высокая растворимость примеси.

Влияние углерода в реально используемых концентрациях (не более 3%) на растворимость легирующих примесей пока не обнаружено.

Сопротивление слоя Si1-xGex, легированного бором до предела растворимости, уменьшается с ростом молярной доли германия. При легировании слоя фосфором до предела растворимости сопротивление всегда возрастает с увеличением доли германия. В слое Si1-yCy, легированном фосфором, сопротивление сначала снижается, затем возрастает с увеличением молярной доли углерода. В напряженном бездефектном слое Si1-yCy подвижность электронов может быть вдвое больше, чем в объемном кремнии. В слоях с большой долей углерода увеличение слоевого сопротивления связано с ростом числа структурных дефектов кристаллической решетки, таких как преципитаты углерода и карбида кремния. Электронные свойства гетероэпитаксиальных слоев Si1-yCy пока изучены недостаточно детально.

Коэффициенты диффузии примесей n – типа (мышьяк, сурьма, фосфор) в кремнии на 5¸7 порядков меньше, чем в германии. Зависимости коэффициентов диффузии от молярной доли германия в твердом растворе различны для разных легирующих элементов. В таблице 1.1 приведены значения коэффициентов диффузии при 850°С для кремния, германия и твердого раствора Si0,75Ge0,25.

Таблица 1.1. Коэффициенты диффузии примесей при T = 850°С

Легирующий элемент

Кремний

[см2/сек]

Германий

[см2/сек]

Твердый раствор Si0,75Ge0,25

[см2/сек]

Мышьяк

2,2×10-19

8×10-11

3×10-16

Сурьма

1,2×10-19

7×10-12

1×10-19

Фосфор

5,5×10-16

2,2×10-11

1,7×10-15

Бор

3,2×10-16

1,3×10-16

3,1×10-16

Из таблицы 1.1 видно, что для легирования гетероэпитаксиальных слоев можно использовать бор, мышьяк и сурьму. Коэффициент диффузии фосфора аномально велик. наименьший коэффициент диффузии имеет сурьма.

1.4.4. Селективное травление слоев  Si и Si1-xGex

Близость кремния и германия в периодической системе элементов определяет и общность их химических свойств. Селективность травления достигается за счет разницы химических свойств окислов. В реакции газового травления участвуют только возбужденные атомы и нейтральные радикалы. Основной реагент CF4.

Реактор для селективного газового травления Si и  Si1-xGex имеет 2 зоны. В первой зоне происходит ионизация газовой смеси, во второй идет химическая реакция травления. Зоны разделены между собой транспортным промежутком, в котором происходит рекомбинация ионов. Время  жизни ионов во много раз меньше, чем возбужденных атомов и радикалов. Давление в реакторе должно быть достаточно высоким для поддержания устойчивого плазменного разряда, но и достаточно низким, чтобы обеспечить большое время жизни возбужденных атомов и радикалов. Рабочий диапазон от 0,3 до 1,5 мм.рт.ст.

Селективность травления Si1-xGex по отношению к Si достигается добавлением в газовую смесь кислорода (O2) и хлора (Cl2). Поверхность кремния окисляется и пассивируется. Окислы кремния устойчивы к хлору, а окислы германия травятся радикалами хлора. Поверхность полупроводника травится радикалами фтора. Селективность травления Si1-xGex возрастает с увеличением молярной доли германия и с уменьшением скорости травления. Скорость травления уменьшается с повышением давления газовой смеси, с понижением температуры и с понижением мощности плазменного разряда. Процесс с максимальной селективностью травления характеризуется максимальным давлением газовой смеси (1,5 мм.рт.ст.), минимальной мощностью устойчивого плазменного разряда (200 Вт) и охлаждением реакционной камеры до 10°С. При этом скорость травления слоя Si0,75Ge0,25 около 0,5 мкм/мин при селективности к нелегированному кремнию около 40. Если слой кремния легирован мышьяком, то селективность травления снижается, если бором, то возрастает. В оптимальном сочетании селективность травления слоя Si1-xGex превышает 100. Есть и ограничения селективного травления. В случае отсутствия с структуре Si1-xGex скорость травления кремния резко возрастает. Поэтому очень важен контроль времени окончания процесса.

Селективность травления кремния по отношению к Si1-xGex достигается изменением состава газовой смеси при сохранении основных параметров процесса (0,8 мкм/мин при селективности значительно больше 100). Азот эффективно пассивирует поверхность Si1-xGex.

1.4.5. Омические контакты к слоям Si1-xGex

Омические контакты к слоям Si1-xGex успешно формируются вжиганием тонких пленок металлов: никеля, кобальта, титана и платины. При этом образуются переходные слои германосилицидов этих металлов. Однако, в технологии микросхем с нанометровыми толщинами структурных слоев и ограниченным термическим бюджетом выбор металла становится однозначным. Германосилицид никеля имеет самую низкую температуру реакции с Si1-xGex, низкие слоевое и контактное сопротивления, почти равные величины контактного потенциала к полупроводникам n и p типов.

Проблема германосилицида никеля состоит в том, что энергия связи никеля с кремнием больше, чем с германием. При увеличении молярной доли германия (x>0,4) или при термообработке (Т>600°С) германосилицид обедняется германием с образованием поверхностной текстуры. Гранулы германосилицида никеля разделены гранулами обогащенного германием твердого раствора SiGe. Слоевое и контактное сопротивления возрастают. Размеры гранул сравнимы с размерами контактов к транзисторным структурам. Если полупроводниковый слой напряжен, то энергия деформации может передаваться химическим реакциям в структуре, провоцировать образование текстуры и снижать термостабильность контактов. Использование в контактах слоев Si1-x-yGexCy снижает энергию деформации. Установлено, что углерод задерживает реакции фазообразования. При образовании германосилицида углерод вытесняется из него почти полностью.

Технология контактов к твердым растворам полупроводников постоянно совершенствуется. Например, получены интересные результаты для контактов на основе смеси германосилицидов никеля и платины. В современных микросхемах используются контакты на основе германосилицидов никеля. Контакты формируются в процессе быстрого термического отжига при температуре не выше 450°С. Дальнейшие термообработки не должны превышать эту температуру.

1.4.6. Зонная структура эпитаксиальных слоев твердых растворов
Si
1-xGex и Si1-yCy

Как выше отмечалось, эпитаксиальный слой  Si1-xGex  на кремниевой подложке испытывает двухосевое сжатие. Период кристаллической решетки в плоскости пластины равен периоду решетки кремния. Для Si1-yCy  несоответствие периодов решетки растягивает эпитаксиальный слой. До определенного предела деформации эпитаксиальных слоев будут упругими, без образования структурных дефектов.

Деформация кубической кристаллической решетки твердого раствора устраняет вырождение электронных состояний как в валентной зоне полупроводника, так и в зоне проводимости. Происходит расщепление электронных состояний на подзоны, а ширина запрещенной зоны при деформации всегда уменьшается. Растяжение и сжатие эпитаксиального слоя по разному влияют на сдвиг зон. При сжатии слоя Si1-xGex  на подложке Si происходит повышение уровня максимума валентной зоны при слабом влиянии на энергетический минимум зоны проводимости. Растяжение слоя Si1-yCy на подложке Si приводит к понижению минимума зоны проводимости при неизменном уровне валентной зоны (рис. 1.5, рис. 1.6).

В эпитаксиальном слое Si1-xGex на подложке Si ширина запрещенной зоны уменьшается с увеличением доли Ge как за счет изменения химического состава, так и за счет деформации решетки. Вклад этих процессов в изменение ШЗЗ примерно одинаков. Упругие деформации переходят в пластические при увеличении толщины слоя (примерно 100 нм), при нагреве (850°С¸900°С) и при возрастании доли германия (x>0,4). Ширина запрещенной зоны полупроводника при этом снижается.

Расщепление квантовых состояний, вызванное деформацией кристаллической решетки, уменьшает эффективную массу электронов в минимумах зоны проводимости при движении этих электронов в плоскости деформации.

Твердый раствор Si1-yCy не является переходной фазой к образованию карбида кремния. Ширина запрещенной зоны карбида кремния значительно больше, чем у кремния. Однако, ШЗЗ твердого раствора Si1-yCy всегда меньше, чем у кремния. Компенсация напряжений в эпитаксиальном слое Si1-x-yGexCy достигается при соотношении . Так как предельная растворимость углерода около 3%, то компенсировать напряжения можно только в твердых растворах с молярной долей германия x<0,3. В слоях с компенсацией напряжений соответственно уменьшается и величина изменения ШЗЗ.
На рис. 1.6 показана связь изменения ШЗЗ в твердых растворах Si
1-xGex и Si1-yCy от величины деформаций и молярных долей x  и  y.

1.5. Усовершенствование структур нанометровых
МОП-транзисторов

1.5.1. Рубежи развития технологии

Современная технология позволяет создавать полупроводниковые структуры с размерами менее 10 нм. Однако, электрические параметры транзисторов ухудшаются под влиянием размерных эффектов и технологических вариаций. Борьба с размерными эффектами ведется путем последовательного усовершенствования транзисторных структур при переходе от одного технологического поколения к другому.

Первый этап изменений произошел на рубеже 130 нм. При снижении напряжения питания до 1,5 В и увеличении плотности тока в транзисторах до
1 А/мм металлизация на основе алюминия перестала обеспечивать требования по сопротивлению и стойкости к электромиграции. Алюминиевые проводники были заменены на трехслойные структуры с проводящим слоем из меди. Технологическое поколение с проектными нормами 130 нм не относится к нанотехнологии и мы не будем подробно его рассматривать.

На рубеже 90 нм утечки в традиционной КМОП структуре достигли критической величины. Мощность токов утечки составила 15÷20% максимальной динамической мощности микросхемы. Низкая надежность подзатворного диэлектрика потребовала снижения напряжения питания, что привело к снижению быстродействия. Для повышения надежности, быстродействия и снижения тока затвора в МОП транзисторах использован двухслойный подзатворный диэлектрик с высокой диэлектрической проницаемостью. Ток подложки удалось снизить за счет сложного профиля легирования и использования эпитаксиальных структур. В ряде случаев в КМОП технологии 90 нм используются структуры  на изолирующей подложке.

На рубеже 65 нм основной проблемой стали токи утечки в подложке. Предложены разные решения для снижения токов утечки: диэлектрическая изоляция транзисторов от подложки, использование изолирующего гетероперехода, использование структур с напряженным кремнием, формирование транзисторов на рельефе подложки (рис. 1.7). Наиболее эффективные и экономичные решения пока не определены.

На рубеже 45 нм основной проблемой признана потеря быстродействия МОП-транзисторов. Потеря быстродействия происходит за счет снижения подвижности носителей в инверсном слое, а также влияния сопротивления контактов к истоку и стоку. Повышение подвижности достигается применением гетероструктур со слоями кремния-германия и структур с напряженным кремнием.

Прогноз развития технологии показывает, что для рубежа 32 нм потребуются транзисторные структуры, в которых канал будет с четырех сторон окружен затвором. На рубеже 22 нм основной проблемой станут квантовые эффекты, которые начнут проявляться во всех областях физической структуры транзистора. Управление этими эффектами потребует применения квантоворазмерных гетероструктур.

1.5.2. Металлический затвор и подзатворный диэлектрик с большой диэлектрической проницаемостью в МОП-транзисторах

Поиски диэлектрика с большой диэлектрической проницаемостью для замены двуокиси кремния в МОП транзисторах велись давно. Однако, только в последние годы экспериментальные исследования получили практическое применение. В современных нанометровых КМОП структурах применяются двухслойные подзатворные диэлектрики. Первый слой – термическая двуокись кремния толщиной 1 нм или менее. Второй слой – смесь окислов и нитридов гафния, алюминия или кремния. Первый слой обеспечивает гладкую поверхность границы раздела с низкой плотностью поверхностных состояний. Второй слой с большими диэлектрической проницаемостью и электрической прочностью обеспечивает высокую удельную емкость МОП структуры, низкий ток утечки и высокую надежность.

Металлический электрод из нитрида титана уменьшает сопротивление затвора. Отсутствие поликремния в затворе исключает его обеднение и тем самым улучшает воспроизводимость параметров транзисторов и увеличивает управляющую емкость. Основное преимущество – это уменьшение туннельного тока затвора. При одинаковой удельной емкости затвора, эквивалентной толщине двуокиси кремния 2 нм, ток утечки в структуре с двухслойным диэлектриком в 500 раз меньше. Двухслойные МОП структуры более надежны, так как структурные дефекты в диэлектриках обычно не прорастают через границу раздела.

Однако, многослойная структура из материалов с разными физико-химическими свойствами имеет ряд недостатков. И эти недостатки связаны с электронными состояниями контактов. Электрически активные электронные состояния (ловушки) образуются оборванными валентными связями. Их энергетические уровни находятся в запрещенной зоне. Такие оборванные связи в многослойной структуре концентрируются на границах слоев. Совершенная структура границы кремния с термическим окислом характеризуется плотностью поверхностных состояний 1010см-2 или немного больше. Границы между химически разнородными диэлектриками и границы диэлектриков с металлами могут иметь плотность поверхностных состояний более 1013см-2. При плотности валентных связей на границе двух сред несколько единиц
10
15см-2, одно локализованное электронное состояние приходится на несколько десятков или сотен нейтральных валентных связей. Расстояния между зарядовыми состояниями (ловушками) больше расстояния от этих ловушек до инверсного канала МОП-транзистора. Электрически заряженные ловушки вызывают два паразитных эффекта в МОП транзисторах. Первый – это рассеяние носителей на удаленных зарядах и снижение подвижности. Второй – это нестабильность суммарного заряда ловушек и соответствующая ей нестабильность заряда в инверсном слое транзистора. Нестабильность заряда в инверсном слое проявляется как гистерезис вольт-амперных характеристик и увеличенная спектральная плотность низкочастотного шума (фликер -шума).

Снижение подвижности и рост фликер-шума хорошо коррелируют друг с другом, так как имеют общую природу. Заряженные ловушки действуют на носители заряда также, как заряженные атомы легирующей примеси. То есть, подвижность увеличивается с ростом температуры, а насыщенная дрейфовая скорость носителей почти не зависит от подвижности. Изменение подвижности для дырок значительно меньше, чем для электронов, что объясняется большей эффективной массой дырок. Наибольшую подвижность и наименьший уровень фликер-шума обеспечивает традиционная МОП структура с термическим окислом и поликремниевым электродом. Пока не удалось найти подходящие материалы с аналогичными характеристиками для многослойных структур.

Двуокись гафния первой начала использоваться в двухслойных структурах с высокой диэлектрической проницаемостью. Удовлетворительные параметры удалось получить только при использовании поликремниевого затворного электрода. Для структур с металлическим электродом плотность ловушек была очень высокой, а подвижность очень низкой (в 3÷4 раза меньше, чем в традиционной структуре). Снижение плотности ловушек достигнуто за счет использования диэлектриков с высокой диэлектрической проницаемостью и включающих в свой состав кроме гафния и кислорода еще кремний и азот. Например, оксинитрид кремния-гафния – HfSiON. В процессе формирования многослойной МОП структуры на границах образуются переходные слои с участием элементов HfSiON и пониженной плотностью ловушек. На границе с двуокисью кремния валентные связи образуются кремнием и кислородом, на границе с нитридом титана – титаном и азотом. Для лучших структур на основе HfSiON с затвором TiN подвижность электронов на 30÷40%, а дырок на 10÷15% меньше в сравнении с традиционными МОП структурами. Спектральная плотность фликер-шума примерно вдвое больше.

Для дальнейшего улучшения параметров многослойных МОП структур потребуется технология выращивания слоев переменного состава без резких границ между слоями.

1.5.3. Полная диэлектрическая изоляция канала МОП транзистора

Проблема снижения тока утечки через подложку с использованием структур «кремний на изоляторе» (КНИ) состоит в том, что эффективное снижение утечек достигается только в случае, если толщина слоя кремния меньше длины канала. Ток утечки сток-исток, ограниченный пространственным зарядом в подложке, течет очень близко к поверхности кремния. Современные технологии пока не позволяют создавать КНИ структуры с бездефектными кремниевыми слоями нанометровой толщины. Поэтому в полупроводниковом производстве используются МОП структуры с локальной диэлектрической изоляцией или достаточно толстые КНИ структуры.

Примером эффективного использования толстых кремниевых слоев на изолирующей подложке является структура Fin FET транзистора (Fin – плавник). Структура разработана корпорацией Intel (рис. 1.7). МОП-транзисторы формируются на рельефе КНИ подложки. Рельеф в форме узких и высоких ребер (плавников) создается селективным травлением. Металлический или поликремниевый электрод затвора охватывает каждое ребро с трех сторон. Для длины затвора 60 нм типовые размеры «плавника»: высота 60 нм, ширина 20 нм. То есть толщина ОПЗ, в которой протекает ток утечки, ограничена 10 нм для каждой МОП структуры. Минимальная ширина канала МОП транзистора соответствует одному «плавнику», примерно 140 нм. Увеличение ширины канала возможно только параллельным включением нескольких одинаковых секций. Fin FET структура эффективно реализуется как на подложках КНИ, так и на обычных монокристаллических подложках. Максимальный ток стока достигает 1,2 мА/мкм. Для структур на монокристаллических подложках утечки уменьшаются на порядок. Для структур на КНИ–подложках конкретные цифры не приводятся, но сообщается, что проблема утечек практически решена.

Локальная диэлектрическая изоляция (ЛДИ) МОП-транзисторов достигается селективным травлением гетероструктур со слоями кремния и твердого раствора кремния-германия. Разработаны процессы эпитаксиального выращивания нанометровых монокристаллических слоев Si и SiGe, а также процессы плазмохимического селективного травления этих слоев. Исходная структура включает кремниевую подложку, скрытый слой кремния-германия и поверхностный слой кремния. На первом этапе создается боковая диэлектрическая изоляция транзисторных областей, рис. 1.8а. На втором этапе формируется затворная МОП структура с двойным диэлектрическим слоем на боковых стенках (спейсером). На третьем этапе верхний слой кремния селективно удаляется с областей истока и стока. На четвертом этапе слой кремния-германия селективно удаляется не только в областях истока и стока, но и под затвором. Верхний слой кремния под затвором оказывается изолированным от подложки, но контакта к этому слою нет. На пятом этапе оголенный кремний окисляется, затем «туннель» под затвором заполняется диэлектриком. Для создания контакта к изолированному слою кремния удаляется часть спейсера и диэлектрик в областях истока и стока. На шестом этапе проводится селективная эпитаксия кремния в областях истока и стока. Одновременно создается контакт к слою кремния под затвором. Далее формируются контакты к электродам МОП транзистора. Основные этапы создания МОП- транзистора с локальной изоляцией канала показаны на
рис. 1.8. Полной изоляции транзистора от подложки в этой структуре нет. Между легированными областями истока и стока есть ОПЗ, в которой может протекать ток утечки. Однако, это расстояние больше, чем в объемной структуре, а область с наибольшей напряженностью поля находится в изолирующем диэлектрике под каналом.

Известны другие структуры, в которых изолирующий «туннель» травится под каналом не в направлении протекания тока, а поперек. После окисления и осаждения легированного поликремния или аморфного кремния, верхний слой кремния оказывается окружен затвором с четырех сторон (рис. 1.9). Также как в структуре Fin FET ширина канала транзистора может меняться только дискретно параллельным соединением секций. В лабораторных условиях получены структуры с несколькими изолирующими «туннелями» и несколькими кремниевыми каналами, расположенными друг над другом.

Ведутся разработки транзисторных структур, в которых изолирующий «туннель» создается не только под затвором, но и под областями истока и стока. В этом случае нанометровый слой кремния висит над «туннелем», соединяясь с подложкой только тонким поликремниевым затвором. Для придания структуре механической прочности, формируются дополнительные фиктивные затворы, закрепляющие висящий слой не менее чем в четырех точках. После заполнения «туннеля» диэлектриком, области истока и стока создаются локальной эпитаксией.

Чем больше глубина «туннеля» под кремниевым каналом, тем толще должен быть и сам изолированный слой. Соотношение скоростей селективного травления SiGe и Si около 100. Слой изолированного кремния с краев становится тоньше. Глубина селективного травления больше толщины изолированного кремния в 2050 раз. Если соотношение будет больше, то неоднородность толщины изолированного кремния будет влиять на электрические параметры МОП транзисторов. Типовое значение толщины изолированного канала в структуре с «туннелем» в направлении тока – 5 нм,. для структур с «туннелем» поперек канала – 7 нм. Для полной локальной изоляции транзисторов используются слои толщиной не менее 10 нм. Во всех случаях толщина удаляемого слоя SiGe – 2040 нм.

МОП структуры с локальной изоляцией не решают принципиально проблему утечек, а уменьшают их до приемлемого уровня. Качество изолированных кремниевых слоев очень хорошее. Параметры МОП транзисторов достаточно высокие. К примеру, транзистор с двойным затвором длиной 70 нм, с эффективной толщиной окисла 2 нм, толщиной изолированного канала 30 нм характеризуется максимальным током стока 1950 мА/мм, током утечки 280 мкА/мм при напряжении питания 1,2 В.

1.5.4. МОП транзисторы на основе слоев напряженного кремния

При выращивании гетероструктур на кремниевой подложке можно получить как напряженные, так и релаксированные слои. Период кристаллической решетки твердого раствора SiGe больше, а SiC меньше, чем у Si. Тонкие эпитаксиальные слои твердых растворов на кремниевой подложке будут деформированы в плоскости подложки. Слои SiGe сжаты, а SiC растянуты. Термообработка достаточно толстых слоев (более 100 нм) приводит к релаксации механических напряжений. Последующее выращивание тонких слоев кремния (менее 50 нм) на релаксированных слоях твердых растворов позволяет получать как сжатые ( на SiC), так и растянутые (на SiGe) слои. Процесс выращивания и термообработки гетероструктуры реализуется в эпитаксиальном реакторе за один цикл.

Для интегральных КМОП структур часто используются слои растянутого кремния на релаксированном слое кремния-германия. Расщепление энергетических уровней сопровождается увеличением подвижности как электронов, так и дырок. Максимальное увеличение подвижности в сравнении с контрольными образцами (без гетероструктуры) составляет для электронов 2,3 раза, а для дырок 1,4 раза. Увеличение максимального тока стока значительно меньше (15%), так как ток ограничен насыщенной дрейфовой скоростью носителей и сопротивлением истока. Основное преимущество гетероструктуры с напряженным кремнием состоит в уменьшении тока утечки в 5÷10 раз. Потенциальный барьер в гетеропереходе создает поперечное электрическое поле и фактически изолирует скрытый слой SiGe от тока утечки, ограниченного пространственным зарядом между истоком и стоком. Относительное снижение тока утечки одинаково для  n и p – канальных МОП транзисторов.

Совершенствование КМОП структур для цифровых микросхем требует в первую очередь улучшения характеристик p – канальных транзисторов. Максимальный ток стока PМОП меньше тока NМОП транзисторов в 2,3÷2,7 раза. Соответственно размеры и емкость PМОП транзисторов в схеме во столько раз больше, чем NМОП. Для улучшения характеристик PМОП необходимо стремиться к увеличению подвижности дырок в канале и уменьшению сопротивления истока, и в первую очередь контактного сопротивления металлизации к полупроводнику. Наибольшее увеличение подвижности дырок в канале (до 80%) достигается в напряженном кремнии с объемным сжатием. Наименьшее контактное сопротивление к области P – типа (10-8Омсм2) получено в контактах к слоям SiGe легированных бором. Корпорация Intel разработала технологический процесс изготовления КМОП микросхем с длиной затвора 45 нм и с использованием объемно-напряженного кремния. Сечение структуры приведено на рис. 1.10. Объемное сжатие в области канала PМОП создается в процессе селективной эпитаксии слоев SiGe в областях истока и стока. Перед эпитаксией в областях истока и стока создаются углубления около 100 нм. Достаточно толстый слой SiGe (более 100 нм) релаксирует и создает напряжения в окружающем кремнии. Легированные до предела растворимости области SiGe в контакте с силицидом никеля обеспечивают минимальное сопротивление истока и стока. Максимальный ток напряженных PМОП транзисторов на 40÷60% больше контрольных образцов. NМОП транзисторы в этом технологическом процессе создаются в объемном кремнии. Растягивающее поверхностное напряжение в структуре NМОП создается нанесением достаточно толстой пленки нитрида кремния (Si3N4). Для того, чтобы эта пленка не компенсировала сжимающие напряжения в PМОП структурах она локально легируются ионной имплантацией германия. Максимальный ток стока напряженных NМОП увеличивается на 10÷15%. Соотношение максимальных токов NМОП и PМОП уменьшается до 1,4÷1,6. Соответственно изменяется соотношение размеров NМОП и PМОП транзисторов.  Быстродействие КМОП вентилей возрастает на 25÷30%.

1.5.5. МОП транзисторы на основе гетероструктур Si – SiGe – Si

Зонные структуры твердого раствора SiGe и монокристаллического кремния одинаковы. Сжатие слоя SiGe в плоскости приводит к расщеплению зоны проводимости и валентной зоны. Граница зоны проводимости имеет тот же энергетический уровень, а граница валентной зоны смещается вверх. Подвижность электронов в плоскости деформации уменьшается, а дырок возрастает. Кроме того, подвижность дырок и смещение валентной зоны увеличиваются с ростом доли германия в твердом растворе.

На рис. 1.11 показана энергетическая диаграмма гетероструктурного P– канального МОП транзистора. Скрытый слой SiGe покрыт тонким барьерным слоем Si. Проводящий инверсный слой образуется на границе скрытого и барьерного слоев. В скрытом слое нет легирующих примесей и поверхностных состояний, снижающих подвижность носителей. Для низких концентраций носителей достигнуто увеличение подвижности дырок до 90%, то есть почти вдвое. Однако, для МОП-транзисторов требуется высокая поверхностная концентрация носителей. Увеличению концентрации препятствует малая величина смещения валентной зоны в SiGe. При сравнительно небольших напряжениях на затворе потенциал на границе барьерного слоя с окислом становится ниже потенциала на границе барьерного и скрытого слоев. Образуется второй инверсный слой с низкой подвижностью дырок. Характеристики транзистора ухудшаются.

Бездефектные скрытые слои твердого раствора могут содержать до 20% германия. При большей доле германия напряжения в структуре релаксируют в процессе последующих термообработок. В скрытом слое возникают структурные дефекты, а энергетическая структура становится неуправляемой. Проблема решается использованием для скрытого слоя тройного твердого раствора SiGeC. Введение в раствор углерода уменьшает среднее значение периода кристаллической решетки, снижает напряжения в скрытом слое. Скрытый слой с 40% германия и 1,0% углерода характеризуется величиной напряжений, соответствующих 20% доле германия в отсутствии углерода. Смещение границ валентной зоны и максимальная концентрация дырок в скрытом слое увеличиваются вдвое.

Барьерный слой кремния служит не только для создания требуемой энергетической диаграммы в канале МОП транзистора, но и для выращивания за его счет термического подзатворного диэлектрика. Остаточная толщина барьерного слоя кремния около 1,5 нм. Эффективная толщина окисла увеличивается на 0,5 нм. Попытки создать гетероструктурный МОП транзистор без барьерного слоя не привели к улучшению его характеристик.

В транзисторах с коротким каналом увеличение подвижности слабо влияет на ток стока. Важнее удельная емкость затвора, которая у гетероструктурных транзисторов меньше. Управляющую емкость снижает барьерный слой кремния. Однако, для предельно коротких затворов нанометровых размеров проявляется механизм квазибаллистического переноса носителей в сильном поле стока. Для этого механизма ток канала ограничивается инжекцией носителей через потенциальный барьер на границе с истоком.

                                                               (1.11)

W – ширина канала; CОК – удельная емкость затвора; VТЕП – тепловая скорость носителей в истоке; r – коэффициент отражения потенциального барьера. Теоретические и экспериментальные исследования показывают, что ток возрастает с увеличением подвижности. В гетероструктурных PМОП транзисторах с длиной затвора 70 нм получено увеличение тока стока на 70% по сравнению с контрольным образцом в монокристаллическом кремнии.

Целью разработки технологии является процесс производства КМОП микросхем. Улучшение характеристик PМОП транзисторов не должно сопровождаться ухудшением характеристик NМОП. Пока не разработаны гетероструктуры одинаково эффективные для n и p – канальных приборов. В КМОП структуре P – канальные приборы размещаются в обтравленных меза-областях с гетероструктурными слоями. N – канальные приборы формируются в монокристаллической подложке.

1.6. Выводы к главе 1.

- Переход к нанометровым размерам МОП транзисторов потребовал внесения значительных изменений в типовую структуру КМОП микросхем. Разработано много технических решений, повышающих надежность изделий, снижающих утечки, увеличивающих управляемые токи. Однако, все предложенные решения имеют ограниченное применение и не свободны от недостатков. В большинстве случаев эти решения не совместимы в одной КМОП структуре. Разнообразие требований по применению микросхем привело к разнообразию производственных маршрутов с уникальным набором конструктивно-технологических ограничений.

- Только технологические решения не могут обеспечить требования реализуемости новых изделий микроэлектроники. Для этого требуются новые конструктивные  и схемотехнические ограничения при проектировании микросхем.

- Проблемы развития лежат в области экономики и унификации производства. Сейчас освоено производство уже трех поколений нанометровых микросхем (90, 65, 45 нм). Однако, нанотехнология не стала массовой. Только унификация физических структур, процессов и оборудования для уже существующего производства может создать основу развития нанотехнологии следующих поколений.


Рисунки к главе 1.

Рис. 1.1. Распределение пространственного заряда в подложке короткоканального МОП транзистора при достижении начала инверсии. Заряд в заштрихованных областях контролируется истоком и стоком.


Рис. 1.2.  Поперечное сечение узкоканального МОП транзистора, иллюстрирующее влияние краевой составляющей электрического поля на заряд области обеднения.


Рис. 1.3.  Распределение носителей в инверсном слое в соответствии с классической и квантовой моделями.


Рис. 1.4. Схематичное изображение для смещения энергетических уровней при деформации кристалла кремния: а) растяжения; б) сжатия.


Рис. 1.5. Схематическое изображение энергетических уровней в гетеропереходах:

а) эпитаксиальный слой Si1-xGex на Si-подложке;

б) эпитаксиальный слой Si1-yCy на Si-подложке.


Рис. 1.6. Зависимости ширины запрещенной зоны в эпитаксиальных слоях
Si
1-x-yGexCy на кремниевой подложке от разницы периодов кристаллической решетки.

Сплошные линии соответствуют двухкомпонентным растворам, пунктирные линии – трехкомпонентным.


Рис. 1.7. Схематическое изображение структуры трехзатворного (FinFET )транзистора на рельефе кремниевой подложки.


Рис. 1.8. Основные этапы изготовления МОП транзистора с локальной диэлектрической изоляцией канала:

а) гетероэпитаксия (Si-SiGe-Si) и боковая диэлектрическая изоляция;

б) формирование затвора и спейсера;

в) селективное травление слоя SiGe;

г) вид структуры после травления SiGe;

д) заполнение «туннеля» диэлектриком и удаление спейсера;

е) селективная эпитаксия областей истока и стока, формирование контактов.


Рис. 1.9. Сечение структуры транзистора с локальной диэлектрической изоляцией. В «туннеле» под каналом сформирован второй (нижний) затвор.


Рис. 1.10.  Основные этапы формирования КМОП структуры в напряженном кремнии:

а) травление углублений в областях истока-стока PМОП транзистора;

б) селективная эпитаксия в областях истока-стока PМОП, деформация области канала;

в) формирование контактов к транзисторам;

г) нанесение пленки нитрида кремния на структуру, деформация канала NМОП, компенсация деформаций в PМОП имплантацией германия в напряженный слой.


Рис. 1.11. Гетероструктурный PМОП транзистор:

    а) сечение структуры;

    б) энергетическая диаграмма.


Глава 2. Параметры элементов нанометровых КМОП микросхем

2.1. Параметры комплементарных МОП транзисторов

2.1.1. Параметры, определяемые статическими характеристиками

Наиболее важные статические параметры, это максимальный ток стока, максимальное напряжение на затворе и стоке, пороговое напряжение, токи утечки сток-исток и затвор-исток. Изменение основных параметров с уменьшением размеров определяется правилами масштабирования физической структуры транзисторов. При использовании правил пропорциональной миниатюризации пороговое напряжение и максимальные напряжения на затворе и стоке уменьшаются пропорционально длине затвора – L. Крутизна проходной вольт-амперной характеристики увеличивается обратно пропорционально L. Максимальный ток стока при максимальных напряжениях на затворе и стоке не зависит от длины затвора. Типовая величина максимального тока стока NМОП транзисторов 600650 мкА/мкм, PМОП – 220250 мкА/мкм.

Максимальные напряжения ограничены, в первую очередь, требованиями надежности. В технологии нанометровых микросхем используются двухслойные или многокомпонентные подзатворные диэлектрики с высокой диэлектрической проницаемостью. В этом случае крутизна проходной ВАХ и максимальное напряжение зависят от свойств диэлектрика. Программа развития технологии ITRS-2007 определяет рубежи для максимального напряжения КМОП транзисторов (стр. 83 ITRS-2007). Транзисторы с длиной затвора 65 нм должны выдерживать напряжения до 1,1 В. Дальнейшее уменьшение размеров сопровождается снижением максимального напряжения до 0,8 В при длине затвора 25 нм, табл. 2.1.

Таблица 2.1. Максимальное напряжение для МОП транзисторов с разной длиной затвора.

Длина затвора, нм

65

45

32

25

Максимальное напряжение, В

1,1

1,0

0,9

0,8

Слабая зависимость максимального напряжения от длины затвора приводит к увеличению максимального тока транзистора.

Крутизна проходной ВАХ нанометровых МОП транзисторов увеличивается в меньшей степени, чем уменьшается длина затвора. Рост крутизны ограничен сопротивлением истока и снижением подвижности носителей в канале.

Утечки в МОП транзисторах имеют три основных составляющих: ток утечки подзатворного диэлектрика, термоэмиссионный ток сток-исток, туннельный ток сток-исток. Основной механизм переноса тока в подзатворном диэлектрике – туннелирование неосновных носителей из поверстного слоя в материал затвора. Величина потенциального барьера для дырок (4,5 эВ) больше, чем для электронов (3,1 эВ). Туннельный ток сильно зависит от толщины диэлектрика и уменьшается примерно в 10 раз при увеличении толщины на 20%. Туннельный ток очень слабо зависит от температуры.

Термоэмиссионный ток протекает в области пространственного заряда в подложке. В литературе часто встречается название «подпороговый ток». Этот ток сильно зависит от температуры, напряжений затвор-исток и сток-исток.

                                         ,                                        (2.1)

Ôв – потенциальный барьер в истоке, Ôт – температурный потенциал. Зависимость тока утечки от температуры определяется температурным потенциалом. Зависимости величины потенциального барьера от напряжений на затворе и стоке определяются соотношением емкостей затвор-исток, сток-исток. Подпороговый ток утечки

               ,                   (2.2)

 – подвижность, Cок – удельная емкость канала, W и L – ширина и длина канала, Vп – пороговое напряжение, Ôт – температурный потенциал, , где Си – удельная емкость изолирующего перехода канал-подложка. Типовое значение величины m = 1,5 для транзисторов с длиной затвора 90 нм, и m = 1, 2-1,3 для транзисторов с длиной затвора 65 нм. Величина подпорогового тока уменьшается с увеличением порогового напряжения, так как пороговое напряжение также определяется величиной потенциального барьера исток-канал.

Подложка или изолированный «карман» – это еще один управляющий электрод в МОП-транзисторе. В нанометровых транзисторах увеличивается отношение емкости затвора к емкости подложки за счет использования новых диэлектриков. Влияние подложки при этом ослабевает. Крутизна управления по подложке 3-5% от крутизны управления по затвору.

Туннельный ток через потенциальный барьер исток-канал можно не учитывать в транзисторах с длиной затвора 45 нм и более. Однако уже для следующего технологического поколения (32 нм) туннельный ток может стать серьезной проблемой. Для борьбы с туннельным током предлагается использовать транзисторные структуры с зазором между истоком и затвором. Ширина зазора от 10 до 30 нм обеспечивает снижение туннельного тока за счет увеличения пространства с потенциальным барьером. Крутизна транзистора при этом несколько снизиться. Структуры нового технологического поколения имеются только в лабораторных образцах.

2.1.2. Вариации статических параметров

Вариации параметров имеют коррелированные и случайные составляющие. Основной причиной случайных составляющих являются термодинамические неоднородности параметров физической структуры. Коррелированные вариации связаны с «эффектами близости» элементов структуры, неровностями подложки и слоя резиста. Причем, коррелированные вариации размеров на фотошаблоне переносятся на пластины. Характеризация вариаций требует измерения тестовых кристаллов на множестве пластин.

Влияние вариаций параметров структуры на выходные характеристики электронной схемы можно оценить только в том случае, если число варьируемых параметров невелико. В качестве главных варьируемых параметров обычно выбираются пороговое напряжение, толщина подзатворного диэлектрика, подвижность носителей в инверсном слое. Остальные параметры считаются либо функционально зависящими от главных, либо неизменными. Например, ток затвора можно считать функцией эффективной толщины диэлектрика, а подпороговый ток стока – функцией порогового напряжения.

Исследования систематических вариаций показали, что их корреляционная длина меняется в достаточно узком диапазоне 1-5 мм и в значительной степени определяется процессами переноса изображения на пластину.

Исследования систематических вариаций проще и удобнее проводить, измеряя утечки во фрагментах схемы  или специальных тестовых блоках. Утечки очень хорошо коррелируют с пороговым напряжением и толщиной подзатворного диэлектрика. Исследования показали, что на пластине обычно есть достаточно большие площади без значительных отклонений от ожидаемых величин. Области с отклонениями параметров включают тесты как с увеличенными, так и с уменьшенными значениями измеряемых величин. По измерениям утечек можно оценить вариации порогового напряжения и максимального тока стока. Например, изменение подпорогового тока в 3 раза соответствует изменениям порогового напряжения на 40 мВ и максимального тока на 10%.

2.2. Динамические параметры логических элементов

2.2.1.Факторы, ограничивающие быстродействие логических элементов

Качественные оценки мощности и быстродействия логических элементов (ЛЭ) можно сделать на основе простейших формул. Энергия переключения вентиля:

                                                   ,                                            (2.3)

Время переключения:               ,                                         (2.4)

Максимальная мощность:    ,                                       (2.5)

Cн – емкость нагрузки вентиля, Vпит – напряжение питания, Imax – максимальный выходной ток. Площадь вентиля пропорциональна квадрату минимального размера  

                                                                  ,                                                (2.6)

Если уменьшение размеров элементов выполнено в соответствии с принципом пропорциональной миниатюризации, то напряжение питания и максимальный выходной ток уменьшаются пропорционально минимальному размеру, а плотность мощности (P/S) не изменится. При селективном масштабировании нанометровых транзисторных структур напряжение питания и выходной ток слабо зависят от минимальных размеров. В пределе плотность мощности возрастает обратно пропорционально квадрату минимального размера. Оценка предельной мощности для пропорционально масштабируемых структур дает величину 30 Вт/мм2, для нанометровых структур эта величина возрастает в несколько раз и превышает 100 Вт/мм2. Допустимая величина плотности мощности ограничена возможностями воздушного охлаждения микросхем в аппаратуре и составляет 0,6 Вт/мм2 [ITRS]. Средняя плотность заполнения площади кристалла логическими элементами 20÷30%. Следовательно, средняя частота переключения логических элементов в схеме должна быть меньше максимальной в 10÷15 раз. Уменьшение размеров элементов в нанометровом диапазоне требует увеличения этого соотношения до 40÷50.

Энергия переключения нанометровых ЛЭ в пределе может быть меньше 10-15 Дж, а задержка сигнала – несколько пикосекунд. В реальных схемах энергия переключения и задержка определяются емкостями сигнальных проводников. Суммарная емкость сигнальных проводников в десятки раз превышает суммарную входную емкость логических элементов. Среднее быстродействие ЛЭ ограничено емкостями и сопротивлениями проводников, допустимой плотностью мощности, напряжением питания. Собственное быстродействие ЛЭ возрастает с увеличением напряжения питания, так как выходной ток увеличивается быстрее, чем логический перепад. В условиях ограничения плотности мощности увеличение тока достигается увеличением размеров  выходных транзисторов при снижении напряжения питания. Среднее быстродействие ЛЭ возрастает при снижении напряжения питания.

Простые схемы с микронными и субмикронными размерами строились на основе универсальных ЛЭ. В условиях ограничения мощности требуется минимум две группы ЛЭ: быстродействующие и энергосберегающие.

Когда задержка сигнала в ЛЭ становится меньше 10 пс быстродействие ограничивается сопротивлением и емкостью соединительных проводников. С уменьшением размеров элементов проявляется новый вид ограничений на допустимую плотность тока в сигнальных проводниках. Сделаем оценку задержки для линии связи с сопротивлением Rпр и емкостью Cпр. В линии связи используются k инверторов – повторителей сигнала. Инверторы характеризуются выходным сопротивлением Rинв и емкостью Cинв. Если инвертор с минимальными размерами транзисторов имеет выходное сопротивление R0 и емкость С0, то

                                               ,    ,                                    (2.7)

где h – масштабный коэффициент.

Задержка сигнала в линии связи

                                   (2.8)

Минимальное значение задержки сигнала

                                                                           (2.9)

которое достигается при

                       ,                               (2.10)

Плотность тока в проводнике оценивается величиной

                                      ,                                     (2.11)

где Sпр – сечение проводника, fmax – максимальная частота сигнала в проводнике .Если считать, что используются проводники минимальной ширины, а их длина уменьшается пропорционально ширине, то с уменьшением проектных норм плотность тока будет возрастать. На рис. 2.1 приведены расчетные зависимости плотности тока в проводниках минимальной ширины для нескольких технологических поколений. Во всех случаях требуемая плотность тока превышает величину 2,5F106 А/см2. 2,5F106 А/см2 – это предельная оценка плотности тока в пленочных проводниках. Для примера, максимальная плотность тока в алюминиевых пленках 2F105 А/см2 , а в золотых пленках – 2F106 А/см2. Снижение плотности тока может быть достигнуто или уменьшением длины проводника между ЛЭ, или уменьшением выходного тока с увеличением задержки сигнала – tз. Ограничение задержки плотностью тока примерно вдвое увеличивает ее значение по сравнению с минимальной величиной. Для технологии с минимальным размером 65 нм длина проводника, неограниченного плотностью тока, составляет 20 мкм.

Развитие технологии в направлении уменьшения ширины проводников  и увеличения допустимой плотности тока сопровождается увеличением удельного сопротивления металлических пленок. Рассеяние электронов на примесях и границах пленки увеличивает сопротивление. Нельзя значительно увеличить и ширину проводников. Увеличение ширины снижает плотность заполнения кристалла ЛЭ, увеличивает среднюю длину проводников, их емкость и мощность схемы.

Управление быстродействием и надежностью системы сигнальных связей осуществляется в основном конструктивными и схемотехническими средствами. Снижение логического перепада ослабляет требования к допустимой плотности тока в проводнике. Уменьшение задержки в линии связи достигается оптимальным выбором числа и размеров повторителей сигнала. Рабочая частота выбирается с учетом ограничений на мощность и допустимую плотность тока.

2.2.2. Быстродействующие логические элементы

Быстродействие ЛЭ в условиях ограничений на мощность и нагрузочную емкость определяется напряжением питания и пороговыми напряжениями МОП транзисторов. Увеличение быстродействия достигается увеличением напряжения питания и снижением пороговых напряжений. Снижение мощности, наоборот, требует снижения напряжения питания и увеличения пороговых напряжений. Компромиссные решения используют МОП транзисторы с разными пороговыми напряжениями и несколько источников электропитания.

Первая задача экономии мощности требует снижения сквозных токов ЛЭ, нагруженных на длинные линии связи. Если напряжение питания больше суммы пороговых напряжений NМОП и PМОП транзисторов, от в процессе переключения состояний ток течет не только в нагрузку, но и прямо между шинами питания. Для пологих фронтов входных сигналов заряд сквозного тока будет больше заряда нагрузочной емкости. Для снижения сквозных токов используются двух-трехкаскадные ЛЭ (рис. 2.2). Входной каскад строится на транзисторах минимальных размеров и служит для выполнения логических функций и уменьшения фронта сигнала. Далее стоит каскад расщепления сигнала и мощный выходной каскад. Раздельное управление транзисторами выходного каскада обеспечивает режим, при котором эти транзисторы никогда не открываются одновременно. Если в ЛЭ не требуется логическая инверсия сигнала, то первый и второй каскады могут быть совмещены.

Вторая задача экономии мощности требует снижения токов утечки. Токи утечки протекают в подзатворном диэлектрике и в канале МОП транзистора. Утечки в канале можно уменьшить в несколько раз, используя транзисторы с разными пороговыми напряжениями. На рис. 2.3 приведены электрические схемы нескольких типов логических элементов с уменьшенными утечками. Утечки в ЛЭ уменьшаются в 2÷3 раза при увеличении задержки сигнала на 10÷15%. Уменьшить утечки в подзатворном диэлектрике без увеличения его толщины схемотехническими средствами пока не удается.

Третья задача экономии мощности – это уменьшение числа транзисторов, к которым приложено полное напряжение питания. С этой целью эффективно используются логические элементы на проходных ключах (рис. 2.4). Для уменьшения площади и входной емкости ЛЭ проходные ключи реализуются только на NМОП транзисторах. Инвертор-усилитель имеет цепь положительной обратной связи на PМОП транзисторе (триггер Шмитта). Элемент сохраняет логическое состояние, когда все проходные ключи закрыты, и формирует короткие фронты выходного сигнала при медленном изменении входных сигналов. Несложно организовать раздельное управление выходными транзисторами ЛЭ и обеспечить отсутствие сквозного тока между шинами питания, рис. 2.12. Логические элементы на проходных ключах эффективно работают на длинные линии связи, обеспечивают построение комбинационных схем с большой логической глубиной и небольшим числом инверторов-усилителей.

Энергия переключения логических элементов на проходных ключах меньше энергии переключения типовых КМОП ЛЭ. Чем сложнее логическая функция вентиля, тем больше преимущество ЛЭ на проходных ключах
(рис. 2.5). Для реализации сложных логических функций требуется меньшее число транзисторов. Площадь блока получается меньше. Сравнение вариантов реализации сложных функциональных блоков на основе КМОП технологии с минимальными размерами 130 нм показало, что при равной площади блоков быстродействие варианта на проходных ключах на 30% выше, чем для варианта на типовых статических вентилях. Преимущество достигается за счет увеличения выходного тока ЛЭ при снижении сквозного тока. Для варианта с энергосбережением площадь блока на проходных ключах в три раза меньше, чем для типовой схемотехники, при отставании в быстродействии 25%. Преимущество в площади  достигается за счет меньшего числа
PМОП транзисторов. В структуре ЛЭ на проходных ключах используются только одинаковые NМОП транзисторы в логических цепях и два-три типа инверторов-усилителей, отличающихся размерами выходных транзисторов. Простота структуры позволяет отказаться от унифицированных библиотек ЛЭ. Средства САПР позволяют автоматически синтезировать электрическую схему и топологию блока на уровне простых элементов. При таком синтезе улучшается функциональная гибкость, уменьшается число транзисторов в схеме, площадь блока плотнее заполняется транзисторами.

В условиях действия конструктивных ограничений на длину проводников и плотность тока снижение площади логического блока дает значительный выигрыш и по числу необходимых повторителей  в линиях связи, и по общей площади кристалла микросхемы.

2.2.3. Энергосберегающие логические элементы

Наиболее эффективный метод снижения энергопотребления – это уменьшение напряжения питания и логического перепада. В основе метода лежит известный факт, что соотношение задержек КМОП логических элементов при снижении напряжения питания изменяется не более чем на 10÷15%. Причем, возможно снижение напряжения питания ниже порогового напряжения МОП транзисторов. В этом случае выходные токи транзисторов – это подпороговые токи утечки. Быстродействие схемы сильно снижается, но сохранение состояний в режиме ожидания достигается при очень малом токе потребления. Теоретический предел для подпорогового режима работы ограничен минимальной величиной.

                                                                               (2.12)

Практически используются напряжения в несколько раз больше.

Очень часто встречаются случаи, когда не требуется сверхвысокое быстродействие микросхемы. Главное требование – это  высокая энергетическая эффективность при относительно низком быстродействии. Получены оценки энергетической эффективности логических элементов при низких напряжениях питания для нескольких технологических поколений. В таблице 2.2. приведены значения потребляемой мощности кольцевых генераторов, построенных на инверторах с разными минимальными размерами. Напряжение питания устанавливалось таким, чтобы задержка сигнала в каждом инверторе равнялась 3,4 нс.

Таблица 2.2. Мощность инвертора на частоте 300 МГц.

Минимальный размер, нм

Напряжение питания, мВ

Средняя мощность, мкВт

250

500

0,37

180

420

0,3

90

280

0,2

50

200

0,14

Следует отметить, что для приборов с размерами 250 и 180 нм напряжение питания практически равно пороговому напряжению Реально подпороговый режим реализован для приборов с размерами 90 и 50 нм. Эти приборы имеют резерв повышения быстродействия в подпороговом режиме.

Если микросхемы заранее проектируются для работы в подпороговом режиме, то возможно значительное улучшение их основных технических параметров. Что следует учитывать при проектировании подпороговой логики? Во-первых, зависимость тока стока от управляющего напряжения на затворе экспоненциальная с коэффициентом неидеальности 1,5 и менее. Коэффициент усиления возрастает не менее, чем вдвое. Во-вторых, в нанометровых NМОП транзисторах в подпороговом режиме наблюдается обратный короткоканальный эффект. Эффект объясняется смыканием под каналом областей P-типа, которые создаются под n+ областями истока и стока. При смыкании P-областей средняя концентрация акцепторной примеси в подложке и пороговое напряжение возрастают с уменьшением длины канала. В PМОП транзисторах этот эффект проявляется меньше, так как донорная примесь (мышьяк) имеет меньший коэффициент диффузии. Соотношение токов N- и P-канальных транзисторов меняется. При равной ширине ток NМОП больше тока PМОП в 1,25÷1,3 раза. В третьих, в блоках с высокой переключательной активностью (боле 10%) эффективно использование логических элементов с источником постоянного тока в нагрузке (рис 2.6). В четвертых, возможно применение МОП транзисторов с меньшей входной емкостью. В транзисторах, работающих с максимальными токами, сопротивления истока и стока должны быть минимальными. Для этого в структуре МОП транзистора между контактной областью и затвором формируются слаболегированные области расширения истока и стока. Если такие области не формировать, то сопротивления истока и стока возрастут в несколько раз, а емкость затвора уменьшится примерно вдвое. При работе МОП транзисторов в подпороговом режиме, сопротивления истока и стока не оказывают существенного влияния на вольт-амперные характеристики.

Оптимизированные логические элементы имеют в 2÷4 раза меньшую задержку при одинаковом напряжении питания в сравнении с типовыми КМОП элементами. Более показательна вычислительная производительность сложного блока (8-разрядного арифметического устройства) при заданной мощности. Схема на основе оптимизированной логики демонстрирует в подпороговом режиме в 3÷4 раза более высокую производительность в сравнении с вариантом на основе типовых КМОП элементов.

2.2.4. Элементы статической памяти в цифровых микросхемах

В специализированных микросхемах памяти большой емкости используются ячейки с хранением информации в виде зарядов на конденсаторах. Для производства микросхем динамической памяти используются технологии, несовместимые с изготовлением микропроцессоров и заказных микросхем. Мы не будем рассматривать такие технологии. В универсальных и специализированных цифровых микросхемах почти всегда используются блоки статической памяти на основе транзисторных бистабильных ячеек. Основные требования к ячейкам статической памяти – это минимальная площадь на кристалле, минимальная потребляемая мощность при заданном быстродействии. Организация работы блоков памяти такова, что переключательная активность отдельных ячеек очень низкая. Основная мощность потребления ячеек определяется утечками в транзисторах. Поэтому, основные отличия в схемах и конструкции статических ячеек памяти связаны с используемыми средствами снижения утечек.

В технологии с планарными МОП транзисторами используются одинаковые средства снижения утечек в логических блоках и ячейках памяти. Это применение транзисторов с разными пороговыми напряжениями, с разной толщиной подзатворного диэлектрика, изменения напряжения питания в режиме хранения и в режиме записи-считывания. При этом ячейки памяти и схемы управления значительно усложняются. Число транзисторов в ячейке достигает двенадцати, а площадь увеличивается вдвое по сравнению с типовой ячейкой на шести транзисторах. Во множестве случаев площадь кристалла микросхемы определяется площадью блоков статической памяти. Значительные преимущества в размерах и мощности блоков памяти дают технологии с уменьшенными утечками. Это структуры типа FinFET, «кремний на диэлектрике» и другие. В этом случае возможно применение шеститранзисторных ячеек. Остальные цифровые блоки в микросхемах памяти также достаточно эффективно реализуются на таких структурах. Основные ограничения по применению рельефных структур на тонких пленках кремния связаны с увеличением теплового сопротивления кристалла примерно вдвое и разбросом сопротивления контактов к истоку и стоку. Если в изделии не требуется предельное быстродействие  на уровне гигагерц, то рельефные структуры с диэлектрической изоляцией канала имеют явные преимущества.

2.2.5. Вариации динамических параметров

Вариации динамических параметров логических элементов проявляются в двух формах. Первая – это непредсказуемое, но постоянное отклонение задержки сигнала от расчетного (среднего) значения. Вторая форма – это непредсказуемое кратковременное изменение задержки сигнала без изменения условий работы ЛЭ (джиттер). Цифровые микросхемы работают в условиях, когда все динамические процессы в логических элементах можно описать как перезарядку емкостей управляемыми источниками тока. Модель для описания динамических процессов использует статические вольт-амперные характеристики транзисторов и величины емкостей, независящие от рабочей частоты. В реальных схемах присутствуют внешние воздействия и помехи от соседних элементов, также влияющие на динамические параметры. Однако, сейчас мы рассматриваем только вариации задержек, связанные с характеристиками самих логических элементов.

Постоянные отклонения задержек ЛЭ определяются выходным током и неопределенностью нагрузочной емкости. Как и другие статические параметры вариации выходного тока имеют случайные и коррелированные составляющие. Коррелированные составляющие вариаций могут быть компенсированы электронными средствами, случайные составляющие необходимо учитывать в расчетах быстродействия блока. Вариации нагрузочной емкости ЛЭ связаны, в первую очередь, с отклонениями размеров проводников. Наибольший вклад в вариации дают длинные проводники. Вариации размеров проводников также коррелированы. Однако, область корреляции обычно меньше максимальной длины проводников в блоке. Поэтому, отклонение удельной емкости проводников от среднего значения обычно считают случайным. Для технологии с минимальным размером 130 нм среднеквадратичное отклонение емкости проводников от ожидаемого (среднего) значения составляет около 1,5%.

Кратковременные изменения задержки в комбинационных цепях связаны с неуправляемым изменением зарядовых состояний в подложке и подзатворном окисле. В структурах «кремний на диэлектрике» изолированный «карман» заряжается токами утечки. «Плавающий» потенциал «кармана» управляет током стока через емкость изолирующего p-n перехода. При низкочастотных измерениях вольт-амперных характеристик МОП транзисторов наблюдается гистерезис, связанный с «плавающим»потенциалом «кармана». При работе ЛЭ на высокой частоте потенциал «кармана»не успевает существенно измениться за период тактового сигнала. Однако, если в работе блока имеются значительные перерывы, то после включения тактового сигнала задержка ЛЭ будет отличаться от средней установившейся величины на несколько процентов. В структурах на объемном кремнии явления гистерезиса ВАХ проявляются  значительно слабее. Измеренные кратковременные отклонения задержки в комбинационных цепях составляют 0,1÷0,2%.

В триггерных элементах непредсказуемые вариации задержки имеют значительно большую величину. Если на входы триггера поступают сигналы данных и синхронизации, отличающиеся по времени на небольшую величину, то велика вероятность того, что в момент переключения триггера в режим хранения выходной информационный сигнал еще не сформировался. В дальнейшем выходной сигнал формируется под воздействием положительной обратной связи. На выходе триггера может установиться как высокий логический уровень, так и низкий. «Точкой баланса» называется промежуток времени между установлением сигнала данных и синхросигнала, при котором действуют равные вероятности появления на выходе триггера как высокого, так и низкого логических уровней. Задержка сигнала в «точке баланса» теоретически ничем не ограничена. Такое состояние триггера называется метастабильным. На практике триггер переключается в какое-либо состояние под воздействием помех и шумов в схеме. Задержка сигнала в триггере может возрасти до 15 раз. Вероятность точного попадания в «точку баланса» очень мала. Однако, задержка будет увеличиваться всегда, когда выходной сигнал триггера в момент переключения в режим  хранения не достиг логического уровня. Это означает, что зона нестабильных задержек зависит не только от входных сигналов, но и от задержек, и от длительности фронта выходного сигнала, замкнутого в цепь обратной связи.

Экспериментальные исследования, проведенные для КМОП элементов, изготовленных по технологии с минимальными размерами 65 нм, показали, что нестабильность в 100 пс между фронтами сигналов данных и синхронизации приводит к нестабильности задержек выходного сигнала триггера 3÷3,5 пс.

Появление в схеме метастабильных состояний – это почти всегда нарушение функционирования блока. Для снижения вероятности возникновения таких состояний следует использовать триггеры с буферами на выходе и входе данных. Временная диаграмма работы цифрового устройства формируется с учетом вариаций задержек сигналов данных и синхронизации. При расчете защитных временных интервалов для сигналов данных и синхронизации необходимо учитывать еще и задержку триггера.

2.4. Характеризация элементов

С уменьшением размеров МОП транзисторов все большее число физических эффектов влияют на вольт-амперные характеристики и емкости приборов. Модели транзисторов все более усложняются. Количество характеризуемых параметров примерно удваивается с переходом к модели следующего уровня. Характеризация моделей из рутинной работы превратилась в профессию, требующую специальных знаний в системах проектирования, технологии и физики полупроводниковых приборов. Сложность моделей увеличивает время расчета электрических схем. Полноценный статистический анализ схем с числом транзисторов более 100  пока невозможен как по причине ограниченных возможностей средств САПР, так и по причине очень большого объема данных, полученных в результате расчетов.

Практически реализуемый вариант – это разработка поведенческих моделей простых функциональных блоков и их статистическая характеризация на основе измерений тестовых кристаллов и моделирования средствами САПР. Современные программы моделирования цифровых схем оперируют поведенческими моделями логических элементов без учета длительности фронтов импульсов, помех по сигнальным цепям и цепям питания. Для нанометровых технологий ошибки в расчете быстродействия и потребляемой мощности могут достигать 40 ÷50%. Повышение точности расчетов требует перехода от логического моделирования к электрическому на основе поведенческих моделей. Для разумной производительности вычислений поведенческие модели ЛЭ должны быть достаточно простыми. Характеризация поведенческих моделей должна быть статистической с числом переменных параметров не более двух. В первом приближении коррелированные параметры следует считать функционально зависимыми, выделенные случайные параметры – считать распределенными по нормальному закону.

Примеры такого подхода к моделированию опубликованы в технической литературе.

2.5. Выводы к главе 2

Вычислительная производительность нанометровых микросхем определяется не  быстродействием логических элементов, а конструктивными ограничениями физического характера: допустимой плотностью мощности, допустимой плотностью тока в проводниках, допустимым уровнем утечек в подзатворном диэлектрике  и в канале МОП транзисторов. Причем, среднее быстродействие ЛЭ в условиях действия ограничений возрастает с уменьшением напряжения питания.

Повышение быстродействия логических элементов в составе микросхемы достигается за счет более эффективного использования ограниченных ресурсов: потребляемой мощности, площади на кристалле, минимально допустимого напряжения питания. В нанометровых микросхемах используется новая ресурсосберегающая схемотехника.

В нанометровых микросхемах может эффективно использоваться подпороговая логика. Подпороговые логические элементы характеризуются энергией переключения порядка 10-15Дж, потребляемой мощностью – 10-7Вт, быстродействием на уровне десятков и сотен мегагерц. Пока еще не определены основные конструктивные и системные принципы применения схем на основе подпороговой логики.

Уменьшение размеров топологических элементов сопровождается не только увеличением стоимости технологического оборудования, но и ростом затрат на характеризацию параметров транзисторов, логических элементов и типовых функциональных блоков. Рост затрат связан с необходимостью количественной оценки влияния случайных и систематических вариаций на параметры и выход годных библиотечных элементов. Такая  характеризация требует моделирования технологических процессов, изготовления и измерения тестовых кристаллов, анализа выхода годных изделий. Проведение полноценной характеризации библиотечных элементов требует глубокого проникновения в производственный маршрут, затрагивающего права интеллектуальной собственности и «ноу хау». Характеризацию библиотечных элементов может выполнить только доверенное предприятие или владеющее этим производственным участком. Увеличение стоимости характеризованных библиотек и ограничение допуска к источникам информации неизбежно ведет к дезинтеграции маршрута проектирования. Развитие проекта «снизу-вверх» (синтез и характеризация функциональных блоков) должно осуществляться одним специализированным предприятием. Развитие проекта «сверху-вниз» (формирование и детализация  модели изделия) выполняется другим предприятием.

Электронная промышленность еще не разработала стандарты характеризации функциональных блоков для нанометровых технологий.


Рисунки к главе 2.

Рис. 2.1 Расчётная максимальная плотность тока  в длинных проводниках
без повторителей (1) и с повторителями (2).

Рис. 2.2 Повторитель без сквозного тока в выходном каскаде.


                  а                                                    б

Рис. 2.3 Логические элементы с уменьшенными утечками:

а – на транзисторах с разными пороговыми напряжениями;

б – на транзисторах с высокими пороговыми напряжениями.

Рис. 2.4 Фрагмент одноразрядного сумматора на проходных ключах.


Рис. 2.5 Сравнение энергетической эффективности типовых КМОП элементов (1) и элементов на проходных ключах (2)

для сложного логического блока.

Рис. 2.6 Элемент подпороговой логики с функцией ИЛИ-НЕ и источником тока в нагрузке.


Глава 3. Проектирование для возможностей производства

3.1. Ограничения и приоритеты проектирования

Закон Мура в своей основе экономический. Главная цель миниатюризации элементов и увеличения сложности микросхем – это снижение стоимости электронной аппаратуры при улучшении показателей качества и надежности. Современная потребительская электроника становится все дешевле, а разработка микросхем все дороже. Экономические показатели производства определяются качеством проекта.

Разработка микросхем ведется в условиях множества ограничений. Все ограничения можно разделить на три группы: экономические, организационные и технические. Наиболее важные ограничения, определяющие судьбу проекта – это экономические. К ним относятся необходимые финансовые ресурсы, экономические показатели производства, рыночная потребность в изделиях. Технические ограничения сложны и разнообразны. Однако, они одинаковы для всех проектов и всех разработчиков. Качество исполнения проекта зависит от уровня управления и квалификации персонала, а эти проблемы относятся к области организационных ограничений.

В своем большинстве ограничения имеют противоречивый характер. Разрешение противоречий осуществляется на основе приоритетов. Система приоритетов неотделима от системы ограничений. Неправильное определение приоритетов ведет к банкротству проекта. Сама по себе задача определения приоритетов относится к организационным ограничениям. Поэтому, в ряде случаев организационные структуры не способны к созданию конкурентоспособной продукции. Например, государственные заказы включают создание аналогов иностранных микросхем, потребляемых отечественными предприятиями. В состав требований к продукции входит и цена, сопоставимая с ценой аналогов. При этом не учитывается, что цена в значительной степени определяется объемом выпуска. Достичь больших объемов выпуска аналогов практически невозможно, так как рыночные ниши уже заняты, а конкуренты будут препятствовать продажам, используя права интеллектуальной собственности. Поэтому, наивысший приоритет имеют ограничения организационного типа. Эти ограничения совершенно очевидны и часто их пытаются решить в рабочем порядке. Если отложить  решение важнейших организационных вопросов на более позднее время, то неудача в любом из организационных компонентов проекта ведет к приостановке или закрытию работ. К наиболее критичным организационным ограничениям относятся наличие коллектива, способного успешно выполнить разработку сложной микросхемы, а также наличие полноценной информации, достаточной для проектирования. Эти простые с виду проблемы не имеют однозначного решения.

Рассмотрим проблему коллектива для разработки микросхем. Майкл Фистер, президент и генеральный директор компании Cadence Design Systems, в своем интервью утверждает, что маленькие компании не могут разрабатывать сложные микросхемы. Очень большой объем и разнонаправленность проектных работ не могут быть осуществлены небольшим коллективом. Загрузка дорогостоящих средств проектирования будет очень низкой. Наш опыт работы в структуре виртуальных корпораций показывает, что господин М. Фистер не прав. Он приравнивает фирму к рабочему коллективу и не рассматривает возможности кооперации небольших предприятий. В нашем опыте есть успешная разработка сложной микросхемы, выполненная в кооперации четырех небольших предприятий. Эффективность средств проектирования достигалась за счет объединения ресурсов САПР и аренды недостающих программных средств. Разработанная микросхема изготовлена по КМОП технологии с минимальным размером 180 нм, имеет кристалл 10х10 мм2 и почти 500 выводов.

Вторая проблема – это информационное обеспечение. По стандартному запросу кремниевые фабрики высылают типовой набор правил проектирования. Этот набор обычно не включает данных для расчета надежности и выхода годных кристаллов. В ряде случаев фабрики не имеют достоверных данных, в другом варианте они предоставляют информацию по отдельному запросу и за отдельные деньги. Наличие и доступность информации в значительной степени влияют на качество проекта.

Вторая группа ограничений – экономическая. Во-первых, ресурсов должно быть достаточно для исполнения проекта. Во-вторых, необходимо оценить емкость рынка для разрабатываемой продукции до начала проектирования. Для уникальной  и мелкосерийной продукции важнее сократить затраты на разработку, чем обеспечить низкую себестоимость изделий. Для массовой продукции себестоимость изделий и процент выхода годных являются важнейшими экономическими показателями. Если проект не обеспечивает снижение стоимости конечной продукции по сравнению с аналогами, то его рыночные перспективы обычно негативные. Экономический прогноз определяет цели проекта, требования к маршруту проектирования и соотношение приоритетов экономических и технических показателей.

Третья группа ограничений – технические. С уменьшением размеров элементов увеличивается число конструктивно-технологических ограничений. Важнейшей целью проекта является совместная оптимизация технических и экономических показателей конечного продукта. Для этого требуется на каждом этапе проектирования делать прогноз основных показателей конечного продукта. Изложенные выше принципы организации проектирования являются общими для любых сложных микросхем. Нанометровые микросхемы принципиально отличает неконтролируемый характер технологических вариаций параметров полупроводниковых приборов. Вариации параметров могут многократно снизить выход годных кристаллов или ухудшить основные характеристики изделий, сделав бессмысленным применение дорогих технологий.

Новый термин – «проектирование для возможностей производства» означает комплекс мер по уменьшению вариаций параметров элементов и влияния этих вариаций на выходные параметры микросхем. В англоязычной литературе используются термины Design for manufacturability (DFM) и Design for yield (DFY). Термин DFY означает повышение выхода годных кристаллов средствами конструктивно-технологического проектирования. Термин DFM – это более широкое понятие, включающее дополнительно еще и средства схемотехнического проектирования. В настоящей работе используется только один термин – «проектирование для возможностей производства» (ПДВП).

Для реализации ПДВП необходима информация не только о статистических параметрах вариаций, но и о технологических, конструктивных и схемотехнических возможностях влияния на эти статистические параметры. Требования ПДВП меняют структуру маршрута проектирования. В итерационный цикл оптимизации вовлекаются сразу несколько этапов физического и схемотехнического проектирования. При этом резко возрастает число варьируемых параметров и противоречивых ограничений. Новейшие средства САПР позволяют проводить совместную оптимизацию сразу нескольких этапов проекта. Однако эти средства не способны разрешать противоречия между ограничениями. Только опыт и мастерство разработчиков способны создать эффективные технические решения.

3.2. Направление развития маршрута проектирования

Исторически сложилась структура маршрута проектирования микросхем, включающая два основных направления развития проекта. Направление «снизу-вверх» объединяет операции создания моделей более высокого уровня на основе моделей нижнего уровня. Например, характеризация схемотехнических моделей транзисторов на основе физико-технологических моделей; создание поведенческих моделей логических элементов на основе схемотехнических транзисторных моделей. По мере усложнения микросхем уровень сложности базовых блоков для проекта также увеличивается. Направление «сверху-вниз» – это разработка модели верхнего уровня и ее последовательная детализация с переходом на нижние уровни описания. Объединение направлений происходит на этапе интеграции проекта.

Для наиболее сложных современных микросхем применяется метод проектирования на основе сложных функциональных блоков (СФ-блоков). На этапе интеграции используются только поведенческие или программные модели СФ-блоков. Кристалл микросхемы рассматривается как системная плата с СФ-блоками. СФ-блоки создаются как разработчиками микросхем, так и специализированными фирмами. Процесс создания СФ-блоков также может быть разделен на направления «снизу-вверх» и «сверху-вниз». Причем, для разных СФ-блоков в одной микросхеме могут использоваться  разные модели нижних уровней, если они совмещаются технологически.

Интегрированная модель производства микросхем (IDM) предполагает, что все этапы проектирования выполняются одним предприятием в тесном взаимодействии всех участников проекта. Модель контрактного производства в системе виртуальных корпораций основана на разделении этапов разработки и производства между разными предприятиями. Разработка СФ-блоков становится отдельным бизнесом и отдельным направлением развития. Использование принципов ПДВП окончательно разделяет направления развития проекта на уровне СФ-блоков. Информационные и организационные ограничения фактически делают невозможной разработку СФ-блоков множеством предприятий, разрабатывающих конечную продукцию. С другой стороны, должно сократиться число предприятий, владеющих полной информацией о характеристиках технологического процесса, так как эта информация конфиденциальная. Должны возникнуть новые альянсы производителей микросхем и разработчиков СФ-блоков.

Важнейшая задача организации проектирования – это минимизация специфических ограничений, связанных с уникальностью технологического маршрута. Принципы ПДВП должны использоваться только в направлении проектирования «снизу-вверх».

3.3. Процессы, дестабилизирующие выход годных кристаллов

Наибольшее влияние на выход годных кристаллов оказывают процессы металлизации и фотолитографии. С уменьшением ширины проводников возрастают плотность тока и сопротивление. Быстродействие микросхем ограничивается параметрами пленочных проводников. На рубеже 130 нм произошла замена алюминиевых проводников на медные. Медь имеет меньшее сопротивление и большую допустимую плотность тока. Гальванически осажденные слои меди имеют аморфную структуру, что облегчает формирование тонких и узких проводников. Однако медь плохо держится на диэлектрических поверхностях, поэтому проводники имеют два слоя: адгезионный и проводящий.

Создание одного слоя соединений при формировании планарной металлизации включает процессы нанесение изолирующего диэлектрика (SiO2) и защитного слоя (Si3N4), анизотропного травления защитного слоя и диэлектрика, газофазного осаждения барьерного слоя нитрида титана (TiN), гальванического осаждения меди (Cu) и химико-механической полировки. Возникновение неоднородностей в наибольшей степени связано с последними двумя процессами. При гальваническом осаждении из-за действия добавляемого в электролит катализатора толщина меди над узкими углублениями будет больше, чем над плоской поверхностью. Над широкими углублениями, где обогащение электролита катализатором не происходит, такое увеличение толщины слоя меди не наблюдается (рис.3.1). Последующий процесс химико-механической полировки должен сформировать планарную поверхность с неоднородностями по высоте рельефа не более 100 нм, оставив проводники только в углублениях рельефа. Однако при полировке в широких углублениях удаляется металл, который должен оставаться в структуре проводников. Чтобы уменьшить этот эффект, помимо совершенствования технологии полировки, требуется учитывать специальные ограничения на ширину и взаимное расположение проводников. «Эффект близости» проявляется в том, что неоднородность рельефа поверхности пластины зависит от формы и размеров проводников. В дальнейшем неоднородности рельефа влияют на размеры элементов рисунка при фотолитографии (рис. 3.2).

Уменьшение длины волны источника света в установках фотолитографии также ограниченно физическими процессами. Энергия кванта излучения возрастает с уменьшением длины волны и превышает ширину запрещенной зоны в оптических стеклах. Пока нет технологии прозрачных оптических материалов для коротковолнового излучения.

В большинстве установок фотолитографии используются источники света с длиной волны 193 нм. Дифракционные эффекты в оптической системе – основная причина искажений рисунка при фотолитографии нанометровых элементов. Однако до определенных пределов формой топологических элементов можно управлять, используя те же дифракционные эффекты. Системы моделирования технологических процессов позволяют рассчитать форму маски, создающую на фоторезисте необходимое распределенние световой мощности с учетом дополнительной световой мощности от соседних топологических элементов.

Формирование рисунка на фотошаблонах обычно осуществляется с использованием электронной литографии. Электронный луч имеет большую глубину резкости и практически не испытывает дифракции в процессе экспозиции резиста. Однако электроны рассеиваются и отражаются в резисте и подложке. Доза экспозиции резиста зависит от размеров экспонируемого элемента и рассеяния от соседних элементов. Взаимное влияние соседних элементов топологии проявляется на расстоянии в несколько микрометров. В электронной литографии коррекция искажений рисунка осуществляется не изменением формы элемента, а изменением дозы экспозиции.

3.4. Проектирование для повышения выхода годных

Проектирование в присутствии вариаций размеров и электрических параметров элементов требует внимания к этой проблеме на всех этапах. На выход годных микросхем вне зависимости от их параметров влияет плотность поражающих дефектов. В нанометровых микросхемах поражающие дефекты разделяют на две группы: случайные и порожденные размерными эффектами. Первый этап борьбы за повышение выхода годных – это уменьшение размерных эффектов.

При изготовлении фотошаблонов всегда проводиться коррекция размеров элементов с учетом их изменения при фотолитографии. Для дифракционных искажений проводится предварительное компенсирующее искажение формы элемента на фотошаблоне (Optical Proximity CorrectionOPC). На рис. 3.3 показаны варианты переноса изображения с фотошаблона на пластину без применения OPC и с применением. Для коррекции формы могут применяться дополнительные элементы рисунка, которые не переносятся с фотошаблона на фоторезист, а служат для управления распределением световой мощности
(
Sub - Resolution Assist FeaturesSRAF) (рис. 3.4).

Процедуры коррекции должны быть учтены при проектировании топологии. Сегодня для формирования откорректированного изображения на фотошаблоне используются два подхода. Первый основан на применении стандартного набора топологических элементов. У каждого такого элемента  для формирования маски существует заранее рассчитанное изображение. При проектировании топологии должны использоваться только элементы из заданного набора. Расстояние между ними должно быть достаточным, чтобы не происходило взаимного влияния. Более гибок подход, основанный на моделировании переноса изображения с фотошаблона на резист и оптимизации рисунка на фотошаблоне. Здесь также есть ограничения на форму, размеры элементов и зазоры между ними, которые должны гарантировать возможность выполнения операций коррекции, но количество вариантов топологических элементов  практически не ограничено, а процесс расчета коррекций учитывает взаимное влияние элементов топологии. В результате обеспечивается более высокая плотность элементов топологии на кристалле. Методы, основанные на коррекции изображений, не позволяют уменьшить расстояние между топологическими элементами до величины 100 нм и менее. Дифракция световых волн приводит к сложению мощностей световых потоков в зазорах между элементами, засветке резиста и слиянию топологических элементов. Для уменьшения зазоров применяется метод фазосдвигающих масок Phase-shift mask (PSM), позволяющий добиться разной длины оптического пути для соседних топологических элементов с помощью травления кварцевой подложки в чередующихся светлых элементах изображения. Если разница длин оптических  путей равна половине длины волны, то мощности световых волн в зазорах между элементами не складываются, а вычитаются (рис. 3.5). Применение PSM еще более усложняет расчет коррекций изображения на фотошаблонах. Этот метод  неприменим  для такого рисунка топологии, в котором возникает «конфликт фаз», то есть в изображении невозможно обеспечить чередование фаз в светлых элементах. Пример – замкнутый светлый контур с близко расположенными областями.

Коррекция изображения – это двухступенчатый процесс. Получение изображения на фотошаблоне также требует коррекции информации. В электронной литографии элемент разбивается не более мелкие фрагменты: центральные, краевые, угловые (рис 3.6). Наименьшая доза облучения требуется в центральной зоне, а наибольшая доза – в угловой. Если рядом расположены другие экспонируемые элементы, то вычисляются корректирующие поправки для уменьшения дозы облучения каждого фрагмента. Чем сложнее рисунок на фотошаблоне, тем мельче фрагменты этого рисунка, в которых индивидуально устанавливается доза экспозиции резиста. В результате коррекции экспозиции суммарная энергия облучения каждого фрагмента прямым лучом и отраженными электронами должна быть равна пороговой энергии проявления резиста. Объем информации для установки электронной литографии с учетом всех видов коррекции изображения для сложных проектов приближается к терабайту.

В ряде случаев электронная литография применяется для формирования рисунка топологии непосредственно на полупроводниковых пластинках. При этом большая часть элементов топологии  с размерами более 100 нм создается с использованием обычной фотолитографии. И только элементы минимальных размеров (затворы) формируются электронным лучом.

Второй этап борьбы за повышение выхода годных – это оптимальное проектирование топологии микросхемы. Правила топологического проектирования нанометровых микросхем включают несколько групп  ограничений. Самые жесткие ограничения определяются требованиями к соблюдению допустимых форм и минимальных размеров элементов, взаимному расположению и расстоянию между ними. Значения минимальных зазоров между элементами зависят от используемых средств оптической коррекции. Применение SRAF-коррекции (с помощью дополнительных элементов) приводит к необходимости увеличения минимальных зазоров. Использование фазосдвигающих фотошаблонов (PSM), наоборот,  позволяет  уменьшить минимальные зазоры. Другая группа ограничений определяет набор требований, при соблюдении которых дефекты, связанные с вариациями размеров, практически не влияют на выход годных. Такие, оптимальные с точки зрения выхода годных ограничения, могут нарушаться в процессе проектирования, если это требуется для достижения заданных технико-экономических характеристик разрабатываемого устройства. Ограничения на равномерность заполнения площади кристалла элементами структуры направлены на снижение влияния вариаций параметров элементов. При равномерном заполнении все физические структуры микросхемы будут находиться в более-менее одинаковом окружении, взаимное влияние структур усредняется и вариации параметров элементов уменьшаются. Еще одна группа ограничений связана с так называемым «антенным эффектом». Во время технологических процессов плазменного травления на проводниках накапливается статический заряд, который может привести к пробою МОП транзисторов. Чтобы минимизировать «антенный эффект», вводят специальные ограничения на площадь соединений в нижних слоях металлизации.

Одна из наиболее сложных задач на этапе топологического проектирования – найти компромисс между использованием оптимальных и минимальных проектных норм. При использовании оптимальных для производства проектных норм обеспечивается высокий выход годных, но площадь кристалла при этом возрастает, а быстродействие падает. Можно даже сказать, что если при использовании оптимальных проектных норм удалось достичь всех требуемых в спецификации параметров, то технологический процесс выбран неверно. Такую микросхему следует разрабатывать и выпускать на основе более дешевой технологии. Если же везде использовать только минимальные размеры и зазоры, то быстродействие будет выше, а площадь меньше, но выход годных и воспроизводимость параметров значительно ухудшаются. Обычно критические блоки выполняются по минимальным нормам, чтобы обеспечить максимальное быстродействие, а некритические – по оптимальным. Для окончательного  решения какие элементы по каким нормам будут реализовываться необходимы расчеты вероятности появления дефектов, связанных с вариациями размеров элементов топологии.

Традиционно, физическое проектирование кристалла микросхемы включает следующие этапы:

- Декомпозиция электрической схемы с выделением критических путей и блоков.

- Синтез топологии с использованием минимальных норм для критических блоков и оптимальных норм для остальных блоков.

- Оптимизация топологии, оценка выхода годных.

- Экстракция паразитных параметров проводников.

- Расчет схемы с паразитными параметрами.

- Коррекция схемы или завершение цикла.

Новым в этом маршруте является этап оптимизации топологии. Оптимизация проводится по критерию уменьшения критической площади. Для расчетов вводится понятие опасных участков – «горячих пятен» (hotspots). На этих участках возможно образование поражающих дефектов за счет естественных вариаций размеров элементов. Расчет влияния «горячих пятен» проводится с использованием показателя критической площади (Aкр). Критическая площадь не равна площади «горячего пятна». Она характеризует вероятность появления дефекта. В общем виде критическая площадь описывается формулой

                                                                               (3.1)

где x – размер дефекта, xmin, xmax – минимальный и максимальный размеры поражающего дефекта, f(x) – распределение вероятности поражения.

                                                   при x min  x  x0

                                                   при x0  x  xmax                      (3.2)

где x0 – минимальный размер элемента или зазор между элементами в соответствии с нормами проектирования. Величина xmin устанавливается в диапазоне 0,25x0  xmin  0,33x0. Величина xmax  2x0. В практических целях площадь «горячего пятна» разбивается на прямоугольники с единственным значением x0. Для каждого прямоугольника вычисляется приближенное значение критической площади простым перемножением величин. Суммарные значения критической площади вычисляются отдельно для каждого топологического слоя и отдельно для дефектов типа замыкание элементов в зазоре, разрыв элементов, замыкание слоев в пересечениях. Эффективные плотности дефектов в критических площадях устанавливаются либо по данным производителя, либо путем измерения тестовых структур. Прогнозируемый выход годных вычисляется сложением вероятностей появления дефекта в структуре кристалла.

                                 ,                                  (3.3)

SкрD0 – вероятность появления случайного дефекта, AкрiDi – вероятность возникновения дефекта в результате вариаций размеров элементов для критической площади Aкр.

Оптимизация топологии направлена на уменьшение критических площадей без существенного изменения площади кристалла. Эффективность процесса оптимизации зависит от характера ограничений и плотности размещения элементов топологии. Например, в слое «Затворы МОП транзисторов» нельзя произвольно изменить длину затвора. Зазоры между транзисторами достаточно велики. Вариантов для уменьшения критической площади очень мало. В слоях металлизации ширина проводников слабо влияет на параметры микросхемы. Плотность проводников достаточно высока и возможностей для коррекции топологии очень много (рис. 3.7). Критическая площадь в слоях металлизации может быть уменьшена в 10 раз.

Процесс оптимизации топологии не дает абсолютного минимума критической площади. Оптимизацию можно завершить в том случае, когда очередная итерация по оптимизации практически не влияет на прогнозируемый выход годных изделий.

Третий уровень ограничений действует на те элементы структуры, которые зависят от плотности заполнения кристалла. Топологические слои, связанные с процессами легирования полупроводников, травлением контактных окон, травлением поликремния на затворах МОП транзисторов, не требуют равномерного заполнения кристалла элементами. Боковая диэлектрическая изоляция транзисторов создает термомеханические напряжения в структуре микросхемы. Напряжения снижаются при равномерном заполнении свободных участков кристалла фиктивными структурами (dummy). Самое главное, окружение рабочих транзисторов становится более однородным и одинаково влияет на их параметры. Вариации параметров снижаются.

Фиктивные элементы необходимы и в слоях металлизации. Они снижают термомеханические напряжения в структуре, а также улучшают однородность поверхности при химико-механической полировке пластин (рис. 3.1). Однородность заполнения достигается применением фиктивных элементов двух типов: фиктивные проводники в широких диэлектрических зазорах и фиктивные диэлектрические зазоры в широких проводниках. Используются два варианта заполнения слоя фиктивными элементами. В первом варианте синтез фиктивных элементов выполняется на основе правил проектирования. Во втором варианте синтез фиктивных элементов объединен в цикл моделирования технологического процесса с применением технологической САПР. Второй вариант дает лучшие результаты, но требует большого времени и ресурсов. На практике второй вариант применяется при синтезе топологии ячеек памяти, регулярных матричных схем и библиотечных элементов. Первый вариант обеспечивает высокую производительность автоматического синтеза топологии средствами САПР.

Четвертая группа ограничений связана с «антенным эффектом». Эффект состоит в повреждении тонкого подзатворного диэлектрика МОП транзистора при протекании тока плазменного разряда. Критичные процессы – удаление фоторезиста, осаждение диэлектрика. В плазменном процессе p-n переходы нагреты до температуры несколько сотен градусов и облучаются светом от разряда. Проводимость их достаточна для стекания разрядного тока в подложку. Сопротивление диэлектриков остается очень высоким, а напряжение на изолированных проводниках в плазме может достигать несколько сотен вольт. Если изолированный проводник соединен с затвором, то разрядный ток вызовет туннельный пробой подзатворного диэлектрика. При достижении некоторой опасной плотности тока параметры транзистора изменяются. Может произойти замыкание затвора с подложкой. Величина разрядного тока пропорциональна площади проводника. Для борьбы с «антенным эффектом» требуется комплекс ограничений:

- Ограничение ширины затвора МОП транзистора.

- Запрет на подключение поликремниевых проводников к затвору.

- Перенос проводников, подключенных к затвору, в верхние слои металлизации.

- Введение в структуру микросхемы защитных диодов, подключенных к затвору и подложке.

Можно отметить общие особенности топологических ограничений для нанометровых микросхем:

- В каждом топологическом слое размеры прямоугольных областей ограничены по длине, ширине и сверху, и снизу.

- Зазоры между областями также ограничены с двух сторон.

- Должны быть заданы оптимальные размеры элементов и зазоры между ними.

- Ограничения могут быть установлены в форме линейных комбинаций длины, ширины и зазоров в прямоугольниках.

  •  В отдельных случаях устанавливаются ограничения на допустимую среднюю плотность заполнения кристалла элементами структуры.

3.5. Снижение параметрического брака.

Систематические вариации имеют достаточно большую длину корреляции. В пределах этой длины возможны электронные средства коррекции. Например, изолированные «карманы» в которых сформированы МОП транзисторы, подключаются не к шинам питания, а к программируемым источникам смещения. Меняя напряжение смещения можно изменить пороговое напряжение и максимальных ток у большой группы транзисторов одновременно. Цифровая схема должна быть разделена на достаточно крупные локализованные фрагменты. Каждый фрагмент управляется собственным блоком коррекции. Блок коррекции включает датчик параметров транзисторной структуры (токов, пороговых напряжений) и цепь управления, изменяющую эти параметры. Блок коррекции может компенсировать не только технологический разброс, но и отклонения, вызванные изменением температуры или напряжения питания.

Некоррелированные вариации компенсировать схемотехническими средствами невозможно. Однако, средства проектирования позволяют снизить их влияние на выходные параметры изделия. Существующие средства САПР позволяют оценить работоспособность схемы при нормальных и граничных условиях эксплуатации (повышенная температура в сочетании минимальным напряжением питания и током КМОП транзисторов, пониженная температура в сочетании с максимальным напряжением питания и током транзисторов). Средства для статистического расчета динамических параметров пока находятся в стадии разработки. Чтобы обеспечить надежное функционирование с учетом воздействия импульсных помех и технологического разброса параметров разработчики вынуждены понижать быстродействие цифровых микросхем таким образом, чтобы разброс выходных динамических параметров не повлиял на работоспособность системы.

Для проектов с технологическими нормами 0,25 мкм, включающих до миллиона элементов, влияние дестабилизирующих факторов на выходные параметры цифровых микросхем можно не учитывать. Однако, для микросхем с размерами 180 нм и менее отклонения динамических параметров от расчетных величин очень заметны и возрастают с увеличением степени интеграции. Максимальные значения импульсных помех в цепях питания достигают десятков процентов. Наибольшую амплитуду имеют составляющие с частотами
30
÷300 МГц. Именно такие частоты наиболее часто применяются для общей синхронизации кристаллов. На точность расчета динамических параметров влияет и точность расчета задержек в проводниках. Для микросхем с минимальными размерами элементов 0,25 мкм и более в большинстве случаев достаточно учитывать только емкости проводников. При размерах 180 нм и менее необходимо учитывать влияние сопротивлений линий связи. А после
90 нм еще индуктивности. Использование полной модели блока с паразитными резисторами и индукторами многократно увеличивает время расчетов. Для упрощенной модели увеличиваются погрешности вычислений.

Предварительную оценку влияния дестабилизирующих факторов на быстродействие цифровых микросхем можно выполнить на основе аналитических расчетов с использованием результатов измерений тестовых кристаллов и завершенных проектов. Приведем пример такого расчетов для технологии 90 нм (значения дестабилизирующих факторов получены в результате измерений тестовых кристаллов и на основе литературных данных).

Оценим тактовую частоту цифрового автомата без учета дестабилизирующих факторов. Число логических элементов в блоке около шестидесяти тысяч. Число триггеров в регистрах состояний около пяти тысяч. Дерево распределения синхросигнала включает сто буферов, объединенных в четыре яруса. Максимальная логическая глубина комбинационных блоков L = 15 вентилей. Традиционная методика расчетов дает следующие динамические параметры:

- Средняя задержка логического вентиля – 40 пс;

- Средняя задержка буфера синхронизации – 100 пс;

- Фронты синхросигналов – 85 пс;

- САПР обеспечила расчетное значение для расхождения фронтов синхросигналов на входах всех триггеров ±50 пс;

- Минимальные значения времен установки и удержания данных на входах триггеров включают расчетное расхождение фронтов плюс величину фронта синхросигнала – 135 пс;

- Минимальный период тактового сигнала равен сумме времени установки данных и времени максимальной задержки данных в комбинационной цепи – 735 пс;

- Минимальная задержка сигнала в комбинационной цепи не должна быть менее времени удержания – 135 пс;

- Расчетная максимальная частота синхросигнала не должна превышать 1380 МГц (рис. 3.8).

Оценим влияние дестабилизирующих факторов. Протоколы измерений тестовых кристаллов производителя дают величину среднеквадратического отклонения тока транзисторов 10÷12% от номинальной величины. Помехи в цепях питания генерируются при переключении большого числа логических элементов в комбинационных блоках. Переключения буферов в цепях синхронизации обычно происходят в те промежутки времени, когда комбинационные блоки не переключаются и не потребляют ток от источника питания. Так как число буферов в цепях  синхронизации около 1% от общего числа вентилей, то и ток потребления в процессе распределения синхросигнала составляет несколько процентов от максимального. В расчетах следует учитывать влияние внутренних помех только на комбинационные блоки. Помехи в цепях питания, подложке и сигнальных проводниках действуют синхронно, поэтому их воздействия на задержку сигнала складываются. При измерениях разделить составляющие помех нет возможности. Измерения комплексного воздействия помех на кольцевые генераторы в тестовых кристаллах дают значение для увеличения задержки вентиля – 10÷15%.

Точность расчета задержек логических элементов определяется методами  учета паразитных емкостей и сопротивлений проводников. Функционально-логическое моделирование цифровых блоков учитывает только увеличение задержки вентиля, как функцию его нагрузочной емкости и длины проводника. Индуктивности проводников не рассчитываются, а фигурируют как скрытые параметры, влияющие на задержку через длину проводника. Ошибки в расчетах задержек логических элементов имеют статистический характер. Наши оценки ошибок, связанных с точностью расчетов задержек дают величину среднеквадратичного отклонения не менее 3% от величины задержки.

Технологический разброс влияет на суммарное расхождение фронтов данных и синхросигналов. Поскольку величины технологического разброса и погрешностей вычислений являются случайными, то дисперсия выходных параметров является суммой дисперсий всех элементов.

               Dу = Dт · L + Dв · L + Dст · m  + Dсв · m  ,                                (3.4)

В формуле Dу, Dт, Dв, Dст, Dсв – дисперсии для времени установки и удержания данных, времени задержки в логической цепи, погрешности расчета задержки в логической цепи, времени задержки в цепи синхросигнала, погрешности расчета задержки синхросигнала.  L и m – логическая глубина в комбинационной цепи и длина цепи синхронизации.

Для оценочных величин Dт = (0,1 · tз)2; Dв = (0,03 · tз)2; Dст = (0,1 · tзс)2;
Dсв = (0,03 · tзс)2  получим значение дисперсии для времени установки и удержания Dу = (26 пс)2.

Оценим влияние импульсных помех. Амплитуда помех в цепи питания составляет в среднем 10÷15% от напряжения питания. Основная мощность помех приходится на диапазон частот ниже частоты синхросигнала. То есть, напряжение питания понижается одновременно на всех логических элементах. Так как выходная проводимость транзисторов квадратично зависит от напряжения питания, а напряжение переключения вентилей линейно, то величина задержки в первом приближении обратно пропорциональна напряжению питания. Помехи в подложке по абсолютной величине имеют примерно такую же величину, что и помехи по питанию. Однако, их влияние на задержки логических элементов на порядок меньше, поэтому их учитывать мы не будем.

Как же изменится распределение временных интервалов на временной диаграмме работы цифрового автомата? Времена установки и удержания  должны быть увеличены на величину, зависящую от числа логических цепей. В нашей схеме около 5000 логических цепей по числу триггеров в регистрах состояний. При нормальном распределении задержек в диапазон ±3σ не попадут 0,27% выборок. Это очень много для блока с 5000 логических цепей. Выход годных схем  с расчетными параметрами будет очень низким. При запасе ±4σ вероятность непопадания в интервал снижается на два порядка и вполне удовлетворяет условию выхода годных схем не менее 80%. Соответственно времена установки и удержания данных должны быть увеличены на 104 пс и установлены на уровне 240 пс.

Кроме случайных отклонений задержек, необходимо учесть помехи в цепях питания, которые в целом всегда приводят к увеличению задержки. Влияние этих помех на задержку тактового сигнала можно не учитывать, поскольку переключения цепей синхронизации происходят в промежутки времени с наименьшей активностью схемы. Комбинационные цепи переключаются в промежутки времени с наибольшей активностью и задержки логических цепей могут увеличиваться. Величину увеличения задержки можно принять пропорциональной уровню помех по питанию, т.е. 15% от номинальной задержки логической цепи. В нашем случае это 90 пс. Эта величина должна быть прибавлена только к времени установки данных, так как помехи никогда не уменьшают задержки.

В результате получилось следующее распределение временных интервалов на временной диаграмме:

- время установки данных на входах триггеров состояний – 330 пс;

- время удержания данных на входах триггеров состояний – 240 пс;

- минимальный период тактового сигнала – 930 пс и соответствующая частота синхронизации – 1076 МГц;

- минимальная расчетная задержка в комбинационной цепи – 240 пс;

- максимальная расчетная задержка в комбинационной цепи – 600 пс.

Таким образом, наибольший вклад в снижение быстродействия блока вносят факторы статистического разброса задержек логических элементов. Их влияние на быстродействие цифровой микросхемы возрастает с увеличением числа элементов и уменьшением их минимальных размеров.

Для увеличения технологических запасов при проектировании цифровых блоков рекомендуется выравнивание временных интервалов установки и удержания данных. Для этого в комбинационные цепи с минимальной задержкой сигнала добавляется необходимое число буферов-повторителей.

3.6. Выводы к главе 3

Параметры конструктивных элементов нанометровых микросхем зависят не только от технологического маршрута, но и от топологии, от коррекций фотошаблонов, от окружающих элементов. Параметры элементов характеризуются статистическими величинами, которые имеют коррелированные и независимые составляющие.

Маршрут проектирования нанометровых микросхем должен учитывать статистические характеристики параметров элементов, возможности их коррекции, требования по оптимизации конструкции, возможности энергосбережения и снижения уровня помех. Все эти задачи невозможно решать в отрыве от характеристик конкретного технологического процесса. Новая концепция построения маршрута проектирования нанометровых микросхем состоит в отказе  от использования технических решений, унифицированных для любых техпроцессов.  Целью оптимизации любого технического решения должна стать не унификация, а специализация на возможностях конкретного техпроцесса. Новая концепция получила название «Проект для возможностей производства» (ПДВП).

Полнота технической документации, характеризующей возможности технологического процесса, должна оцениваться по критерию достаточности для исполнения маршрута проектирования в соответствии с принципами ПДВП.

Полномасштабная реализация маршрута проектирования нанометровых микросхем потребует создания новых средств САПР и новых правил описания технологии. Однако, уже сейчас применение принципов ПДВП показывает их высокую эффективность.

Организация проектирования нанометровых микросхем должна строиться с учетом ограничений и приоритетов современных технологий. Важнейшие приоритеты – это кооперация усилий и создание работоспособного коллектива в структуре виртуальной корпорации, а также информационное обеспечение проекта.

Маршрут проектирования должен строиться с учетом минимизации конструктивно-технологических ограничений, используемых в направлении разработки «сверху-вниз». Это позволяет одновременно и независимо развивать как системную и программную составляющую, так и элементную базу проекта. Основные этапы проекта, связанные с управлением выходом годных микросхем, можно сосредоточить в направлении «снизу-вверх». При этом состав этапов должен охватывать работы от характеризации моделей простых элементов до разработки и характеризации сложных функциональных блоков.

Глава 4. Организация проектных работ.

4.1. Факторы, определяющие производительность проектных работ.

С уменьшением размеров и увеличением числа элементов усложняются физические процессы в структуре микросхем. Соответственно усложняются модели полупроводниковых приборов, линий связи и элементов конструкции. Темпы увеличения сложности проектов и объемов вычислений значительно опережают темпы роста производительности вычислительных и программных средств САПР. Необходимое число разработчиков микросхем постоянно возрастает. Растут и затраты на разработку новых сложных микросхем. Экстенсивный путь развития методов проектирования совершенно бесперспективен. Основной метод повышения производительности проектных работ – это унификация технических решений и их многократное использование. Самый эффективный метод унификации – это совместная пропорциональная миниатюризация размеров элементов, толщин структурных слоев, рабочих напряжений и всей конструкции микросхемы. Приемы пропорционального масштабирования модифицировались по мере развития технологии, но их основные достоинства сохранялись более 30 лет.

Переход технологии к нанометровым размерам сопровождался разрушением системы унификации проектных решений на основе масштабирования. Технологические маршруты и физические структуры микросхем стали очень разнообразными. Принципы проектирования для возможностей производства требуют оптимизации топологии и схемы для каждого проекта. Характеризация элементов и процесс моделирования усложнились многократно. Разработка новой сложной микросхемы для новой технологии обходится  а сотни миллионов долларов. Такая технология и методика проектирования не могут стать массовыми.

Вариации параметров элементов также оказывают влияние на архитектуру микросхем. Электронная коррекция параметров возможна  только в пределах области их корреляции. Схема должна строиться из функциональных блоков, локализованных на участке с размером не более длины корреляции параметров. Оценочная площадь СФ-блока не более
2 мм
× 2 мм. Большие массивы цифровых элементов не рекомендуется использовать даже в блоках памяти. Разбиение схемы на мелкие блоки увеличивает число иерархических уровней описания схемы.

4.2. Унификация системных решений – основа новой методологии проектирования.

На начальном этапе создания системы унификации маршрутов проектирования необходимо определить, где проходит граница влияния уникальных характеристик технологии на выходные параметры изделия. По нашим оценкам – это уровень сложнофункциональных блоков, включающих встроенные средства контроля и управления режимами работы. При проектировании таких блоков должны применяться средства ПДВП. Объединение СФ-блоков в более крупные части системы на кристалле может проводиться с использованием унифицированных оптимальных проектных норм, не влияющих на плотность дефектов и вариации параметров.

Для того, чтобы набор уникальных СФ-блоков можно было использовать с максимальной эффективностью, необходимо следовать правилам унификации:

а) Система на кристалле должна поддерживать достаточно большое количество применений за счет возможности изменения архитектуры программным путем.

б) Для разработки новой системы на основе СФ-блоков эти блоки должны обладать свойствами взаимозаменяемости.

в) Системы должны обладать свойствами масштабируемости, понимаемой как возможность повышения производительности или функциональности за счет подключения дополнительных блоков.

г) СФ-блоки должны обладать свойством совместимости. Объединение СФ-блоков в систему должно осуществляться на основе единых правил. Это либо шинная структура, либо сетевая.

Унификация требований с СФ-блокам сократит затраты не только для направления «сверху-вниз», но и для направления «снизу-вверх» за счет автоматизации этапов проектирования.

В сложных вычислительных системах, использующих несколько процессорных ядер, наиболее эффективная архитектура – это сетевая. Сетевая архитектура хорошо сочетается с гранулированной структурой нанометровых микросхем. Базовый элемент сетевой архитектуры – типовой заменяемый модуль. Один модуль сети должен включать функциональный блок, коммутатор, блоки синхронизации, блоки контроля и управления режимами, блоки электропитания. Унификация технических решений требует совместимости блоков. При этом модули сети будут отличаться друг от друга только функциональными блоками. Коммутаторы позволяют создавать программным путем прямые скоростные каналы связи между модулями сети. Одновременно могут функционировать много каналов связи. Система связей меняется программно в ходе решения задачи.

В сети должен присутствовать командный модуль, распределяющий задачи между функциональными модулями и конфигурирующий систему связей. Гибкая программируемая структура легко настраивается на новые применения, возрастает универсальность изделия и его надежность. Выход годных и функциональная надежность – одно из основных преимуществ сетевой архитектуры. Например, корпорация AMD выпускает процессор «Barselona» с четырьмя вычислительными ядрами. Процессор не теряет функциональных возможностей при отказе одного из ядер. Кроме того, продаются новые процессоры с тремя работающими ядрами и имеющие технологический брак в четвертом. Масштабируемость технических решений легко реализуется в «сети на кристалле» простым изменением числа функциональных модулей. Общее число модулей в сети ограничено общей пропускной способностью системы сигнальных связей и потребляемой мощностью. Проектирование унифицированных блоков для «сети на кристалле» должно учитывать возможности масштабирования сети в широких пределах (не менее чем в 10 раз по числу объединяемых модулей).

СФ-блок и типовой модуль – это не одно и то же. Типовой блок может включать несколько СФ-блоков. Его размер не ограничен зоной корреляции параметров элементов. В каждом СФ-блоке может быть собственная система электронной коррекции параметров. СФ-блоки в модуле объединяются общей системой синхронизации. Внутри модуля создается собственная иерархическая структура. Структура должна соответствовать задачам, решаемым  в этом модуле.

Требования универсальности и вычислительной эффективности противоречат друг другу. Микропроцессорные блоки наиболее универсальны, но энергетически неэффективны. Специализированные цифровые автоматы очень эффективны, но способны исполнять единственный алгоритм. Программируемые логические интегральные схемы (ПЛИС) очень неэффективно используют ресурсы (площадь блока ПЛИС в
50
÷100 раз больше площади цифрового автомата). Существенное повышение энергетической эффективности достигается в матричной структуре с программируемыми функциями (Reconfigurable Instraction Cell Array – RIСA). Структура RIСA отличается от структуры ПЛИС тем, что функции ячеек программируются в процессе вычислений. Фактически каждая ячейка является маленьким микропроцессором. Функция ячейки задается потоком команд в каждом цикле. Конфигурация связей может меняться для каждой задачи. Конфигурацией связей управляет программируемый центральный блок. Сравнение характеристик модуля с RIСA структурой и нескольких микропроцессоров проведено для КМОП технологии с минимальным размером 0,13 мкм. По площади модуль RICA в 6 раз больше ядра микропроцессора ARM-7. Однако, по энергетической эффективности он превосходит все сравниваемые микропроцессоры от 2 до 10 раз для любых решаемых задач. Среднее быстродействие модуля RICA такое же, как у микропроцессора ARM-7 и выше, чем у других сравниваемых микропроцессоров.

Развитие программируемых матричных структур ведется и с целью уменьшения площади связей. Новая программируемая матричная схема обеспечивает уменьшение площади примерно в 6 раз по сравнению с традиционной ПЛИС структурой, увеличение быстродействия примерно вдвое и повышение энергетической эффективности более чем в 10 раз. При сравнении с микропроцессорами выигрыш в быстродействии составляет 3÷5 раз. К сожалению не приведены результаты сравнения энергетической эффективности.

Основные особенности новой матричной архитектуры:

а) Иерархическая структура связей включает 1-разрядные элементарные ячейки, 4-разрядные ячейки, состоящие их 4 одноразрядных, вложенные блоки из 4 и 16 четырехразрядных ячеек;

б) Предусмотрено всего два типа конфигураций ячеек в блоках: для памяти и для логических функций;

в) Внутри вложенных блоков сигнальные связи распределяются в форме H-дерева, рис. 4.1. Для 16 ячеек требуется только 15 мультиплексоров, расположенных в 4 ярусах;

г) Структура связей предполагает построение конвеерных цифровых автоматов с небольшой логической глубиной комбинационных цепей.

4.3. Системная интеграция проекта.

Вычислительная производительность микросхемы типа «сеть на кристалле» определяется максимальной пропускной способностью информационной сети. При масштабировании сети с увеличением числа интегральных модулей наступает режим насыщения пропускной способности каналов связи. Если размеры сети меньше предельной, то каналы связи имеют запас пропускной способности. Аналогично и другие ограничивающие ресурсы (потребляемая мощность, частота синхросигналов, допустимый уровень помех, процент выхода годных) оптимизируются для максимальных размеров сети. Практически все ограничивающие ресурсы определяются характеристиками интегральных модулей.

Комплекс требований к интегральному модулю определяет характеристики серии микросхем, использующих эти модули, поэтому этот комплекс является платформой для проектирования всей серии. В состав требований входят характеристики сигналов и протокол передачи данных, диапазон частот глобальной синхронизации, допустимые частоты работы СФ-блоков и модулей, температурные режимы, характер управления режимами работы СФ-боков, протокол работы встроенных средств контроля.

Как правило частота глобальной синхронизации СНК в несколько раз меньше частоты синхронизации СФ-блоков. Для этого в интегральном модуле должен быть один или несколько синтезаторов частоты. Частота передачи данных может быть выше частоты глобальной синхронизации. Для этого в составе модулей должны быть интерфейсы связи, обеспечивающие синхронизацию и кодовую защиту информационных каналов. Очень часто максимальная суммарная мощность всех СФ-блоков превышает допустимую мощность микросхемы. Общий модуль управления  и контроля режимов распределяет ресурсы мощности между СФ-блоками, управляя частотой синхронизации и напряжением питания. Известны автономные системы управления режимами СФ-блоков. Однако они менее эффективны, так как используют показания только собственных датчиков. Встроенные средства контроля, наоборот, должны быть максимально автономны, не мешать работе СФ-блоков и не влиять на каналы связи. Наиболее эффективно использование отдельной информационной шины для системы контроля и управления. В наиболее развитых системах встроенного контроля возможно исключение неисправных СФ-блоков и замена их резервными без вмешательства извне.

4.4. Выводы к главе 4.

Основной резерв для повышения производительности проектных работ состоит в максимальном разделении процедур проектирования в направлениях «снизу-вверх» и «сверху-вниз». Многообразие технологических маршрутов и сложность характеризации моделей элементов и блоков должны компенсироваться развитием автоматизированных средств характеризации на основе единых требований к моделям нижних уровней. Средства ПДВП должны использоваться именно для этапов проектирования «снизу-вверх».

Этапы проектирования «сверху-вниз» не должны использовать средства ПДВП. В этом случае возможна унификация системных решений. С увеличением сложности нанометровых микросхем должна увеличиваться и сложность унифицированных блоков. Новым уровнем унификации является типовой интегральный модуль. Интегральный модуль включает набор уникальных функциональных СФ-блоков и базовый комплект блоков синхронизации, связи, контроля и управления. Интегральные модули проектируются в направлении развития «сверху-вниз».

Прогрессивной архитектурой для сложных вычислительных систем  является «сеть на кристалле». Комплекс требований к характеристикам типовых интегральных модулей образует платформу для проектирования серии микросхем на основе общего набора модулей.

Архитектура «сеть на кристалле» использует масштабируемую матрицу интегральных модулей, реконфигурируемую систему сигнальных связей, постоянную систему контроля и управления режимами. В сети должен присутствовать модуль контроля и управления. Максимальный размер матрицы модулей ограничен пропускной способностью каналов связи.

Архитектура модуля разрабатывается независимо от архитектуры сети. Проводятся исследования по созданию иерархических сетевых архитектур внутри интегральных модулей. Примером такой архитектуры является матрица примитивных микропроцессоров, объединенных реконфигурируемой системой связей.


Глава 5. Управление потребляемой мощностью.

5.1. Ограничения информационных сетей

Перспективы развития информационных технологий связываются в первую очередь с распространением информационных сетей. Информационные сети должны стать основой нового бизнеса, в котором прибыль создается не в системе сбыта электронных устройств, а в сфере предоставления услуг. Автоматические информационные сети должны внедриться почти во все области человеческой деятельности. Это развлечения, связь, медицина, образование, торговля, навигация и многое другое. Сети будут построены по принципу повсеместного, фонового информационного окружения. Разнообразие предоставленных услуг должно обеспечиваться единым унифицированным комплектом сетевой аппаратуры. Должно произойти слияние вычислительной, связной и мультимедиа сетей. Доступность услуг потребует использования естественного человеческого интерфейса. То есть, пользовательские терминалы должны сами определять присутствие человека, управляться голосом или очень небольшим числом клавиш. Реализация автоматического режима работы большой информационной сети диктует необходимость создания локальных сенсорных сетей, которые обеспечат постоянную связь с пользовательскими терминалами.

Информационные сети будущего потребуют многократного увеличения вычислительных ресурсов аппаратуры и пропускной способности каналов связи. Если опираться на современные показатели энергетической эффективности электронной аппаратуры, то работа таких сетей потребует пиковой мощности источников питания в несколько Гигаватт. Обеспечить такое энергоснабжение в распределенной сети с автономными блоками не представляется возможным в любой обозримой перспективе. Поэтому энергетическая эффективность электронной аппаратуры становится одной из важнейших проблем.

Исходя из возможностей электропитания составных частей информационной сети, сделаны оценки требуемой энергетической эффективности используемой элементной базы. Все блоки информационной сети можно разделить на три группы по уровню энергопотребления. К первой группе относятся стационарные и автомобильные вычислители. Предел мощности для этих блоков установлен 5 Вт. Исходя из планируемого объема вычислений до 1012 операций/сек, получим требуемую эффективность
10
11¸5×1011 операций/Вт. Это в 1000 раз больше, чем в процессорах современных персональных компьютеров. Уровень энергопотребления микросхем в стационарной аппаратуре ограничен на уровне единиц Ватт.

К второй группе относятся персональные карманные устройства с автономным питанием. Эти устройства должны обеспечивать телефонную и Интернет-связь, контроль состояния здоровья, взаимодействие с системой безопасности, биометрическую идентификацию, функции органайзера и т. д. Они снабжены беспроводным каналом связи с сетью, обладающим пропускной способностью до 108 бит/сек. Максимальная потребляемая мощность устройства 500 мВт. Используемые микросхемы составляют милливаттный уровень.

К третьей группе относятся разнообразные сенсоры и точки доступа к сети. Большая их часть должна иметь автономное электропитание от аккумуляторов, солнечных батарей, топливных элементов и др. При постоянном функционировании запаса энергии должно хватать на несколько лет. Наибольшую проблему представляет беспроводная связь этих устройств. Скорости передачи информации низкие от 1 до 104 бит/сек, дальность связи около 10 м. Система связи будет построена по иерархическому принципу. Ближняя связь – 10 м, связь в здании – 100 м, локальная связь – 1000 м, далее –  городская или национальная сеть. Максимальная мощность таких устройств около 1 мВт, а средняя не более 100 мкВт, и они составляют микроваттный уровень.

Для столь резкого повышения вычислительной мощности и  энергетической эффективности электронной аппаратуры потребуется новый уровень интеграции микросхем и новая комбинация технологий энергосбережения.

5.2. Вклад элементов информационной системы в потребление электроэнергии.

Уменьшение размеров МОП транзисторов до десятков нанометров привело к уменьшению энергии переключения логического элемента до величины порядка 1×10-15 Дж. С уменьшением размеров транзисторов погонные емкости проводников уменьшаются незначительно и составляют около
0,1 фФ/мкм. В общем случае, емкости системы сигнальных связей на кристалле в 10
¸100 раз превышают емкости транзисторных структур. Общее энергопотребление микросхемы в активном режиме определяется частотой переключения длинных связей. Самые большие резервы уменьшения энергопотребления находятся в сфере оптимизации информационных потоков.

Утечки МОП – транзисторов связаны с физическими процессами в подложке. Абсолютно их исключить невозможно. С уменьшением длины затвора МОП транзистора утечки возрастают. Но главная проблема в том, что с увеличением степени интеграции ужесточаются требования к величине токов утечки. При уровне сложности схемы в 106 вентилей и типовой утечке 10-9 А суммарный ток утечки составит около 1 мА. С ростом сложности схемы до 109 вентилей, ток утечки возрастет до 1 А, а потребляемая мощность в статическом режиме может превысить весь энергетический бюджет системы. Управление утечками требует комплексного подхода, включающего как схемотехнические, так и технологические решения.

Информационные системы включают очень разные функциональные блоки: цифровые, аналоговые, сенсорные, радиотехнические, микромеханические и т.д. Материалы электронных приборов отличаются по физическими свойствам и определяют характеристики этих приборов. Задача объединения всех блоков в единый монолитный кристалл не ставится даже в перспективе. Системы и в будущем будут состоять из нескольких кристаллов. При традиционной сборке корпусных микросхем на печатные платы емкости сигнальных проводников увеличиваются до величины в несколько пикофарад, а энергия передачи одного бита информации возрастает до 1¸5×10-11 Дж. Снижение этой энергии возможно только путем применения высокоплотного бескорпусного монтажа кристаллов в системе.

Наиболее критичными к уровню энергопотребления являются устройства с автономным питанием. Именно в этих устройствах требуются беспроводные каналы связи. Современные радиосистемы обеспечивают энергетическую эффективность передачи информации  около 2×10-8 Дж/бит (Bluetooth). В лабораторных условиях созданы образцы с эффективностью 2×10-9 Дж/бит. Для блоков с автономным питанием прогнозируется уровень 2¸5×10-10 Дж/бит. Достижение таких параметров потребует как использования новых технологий (полупроводниковых гетероструктур), так и новых принципов передачи информации по радио.

5.3. Снижение энергопотребления путем оптимизации архитектуры вычислителя

До 70% площади кристалла процессора занято блоками памяти. Самые длинные проводники также в блоках памяти. Главный резерв энергосбережения – оптимизация обращений к памяти. Обращение к локальным регистрам требует в 5 раз меньше энергии, чем обращение к общей памяти.

Задачи мультимедиа и обработка сигналов связи используют потоковые алгоритмы и  допускают организацию параллельных вычислений. Параллельные вычисления требуют меньше энергии, так как каждый из вычислительных блоков оптимизируется для меньшей производительности вычислений и может быть локализован на меньшей площади, что снижает емкости сигнальных связей.

Программная реализация алгоритма вычислений в микропроцессоре требует до 500 раз больше энергии в сравнении с оптимизированным цифровым автоматом. Использование специализированных цифровых блоков с локальными регистрами памяти – это самый энергосберегающий вариант. Однако для многих приложений требуются программируемые устройства. В этом случае можно многократно снизить потребляемую мощность, динамически конфигурируя процессор при вычислениях.

Таким образом на кристалле микросхемы формируется распределенная вычислительная сеть с распределенной многоуровневой системой памяти. Вычислительная сеть динамически конфигурируется под управлением встроенного программного обеспечения (ПО). В вычислительной сети выполняется контроль энергопотребления как функции требуемой производительности. Управление производительностью вычислителя выполняется автоматически путем изменения тактовой частоты и отключения неиспользуемых блоков. Примерно такая архитектура вычислителей планируется к применению в информационных сетях уже в ближайшие годы.                                                                                                                                                                                                                                                                   

5.4. Роль программного обеспечения в энергосбережении

Структура ПО должна соответствовать структуре вычислительной сети на кристалле. Программные модули должны быть загружены в локальные регистры соответствующих вычислительных модулей. Отдельный модуль управления следит за динамической конфигурацией системы связей, потоками команд и данных, подключением требуемых ресурсов. Разработка такого ПО требует больших затрат. Однако в информационной сети не планируется использование большого числа программных продуктов. Если сравнивать стоимость ПО со стоимостью всей сети, то эти расходы не станут определяющими.

Так как главный ресурс энергосбережения – это экономия на пересылке информации между удаленными блоками, то ПО должно учитывать взаимное размещение этих блоков. Потребуется совместная оптимизация архитектуры сети на кристалле и используемого ПО. То есть, ПО должно разрабатываться одновременно с микросхемой. В этом случае системная модель сети на кристалле должна учитывать ее физическую структуру. Традиционное описание системной модели на языках Mathlab или C++ не дает таких возможностей. Поэтому язык описания System-C может стать основным средством разработки моделей верхнего уровня. Средства совместной оптимизации встроенного ПО и структурированной модели верхнего уровня пока еще не созданы, но задачи уже определены и работа в этом направлении  ведется.

5.5. Схемотехнические средства энергосбережения

Очень важная проблема – это утечки через подложку в МОП транзисторах. Утечки поддаются контролю. Их можно снизить, подавая смещение на подложку (изолированный «карман») или используя транзисторы с большим пороговым напряженем или большей длиной канала. Быстродействие и нагрузочная способность логических элементов при этом ухудшаются. Энергетическая оптимизация предполагает использование транзисторов с самым коротким каналом и минимальным пороговым напряжением только в критических цепях с предельно высокими быстродействием и нагрузочной способностью.

Важная энергетическая проблема – это формирование и распределение синхросигналов. Как для энергосбережения, так и для помехоустойчивости выгодно распределять в «сети на кристалле» только опорный низкочастотный синхросигнал. Высокочастотные локальные синхросигналы необходимо формировать непосредственно в интегральных модулях. Причем, управление частотой синхросигнала независимо в каждом СФ-блоке – один из главных методов энергосбережения. Для этого в каждом модуле должен быть один или несколько цифровых синтезаторов частоты.

Динамическое управление электропитанием – еще один метод экономии электроэнергии. Динамическое управление предполагает использование 2-3 уровней напряжения питания для режимов функционирования и 1 режим ожидания с отключением СФ-блока от шин питания.

Как правило, управление частотой синхросигнала и напряжением питания происходит совместно. Сначала понижается частота, а затем и напряжение питания. При обратном переходе порядок переключений меняется: сначала питание, потом частота.

5.6. Формирование напряжения питания и управление питанием.

Процесс управления электропитанием также требует дополнительных затрат энергии. Самый простой  и энергетически эффективный способ – это подключение схемы к нескольким внешним источникам питания. СФ-блоки подключаются к выбранным шинам питания через аналоговые ключи. Однако, этот прием экономически наихудший. Помехоустойчивость такой системы не очень хорошая.

Для снижения помех в цепях питания применяются фильтрующие блокировочные конденсаторы. При частой смене напряжений потери энергии на перезарядку блокировочных конденсаторов снижают эффективность управления питанием. Емкости блокировочных конденсаторов в цепях управляемого питания необходимо минимизировать. В режиме ожидания, когда СФ-блок отключен от питания и синхросигнал не подается, происходит разряд конденсаторов токами утечки в транзисторах. При включении питания требуется дополнительная энергия на заряд всех емкостей в блоке. Для уменьшения разряда конденсаторов СФ-блок отключается не только от шины питания, но и от общей шины.

В каждый момент времени потребление электроэнергии на кристалле неравномерное. В глобальных шинах питания возникают резонансные колебания, связанные с блокировочными конденсаторами и индуктивностями цепей питания, включая и цепи вне кристалла. Резонансы возникают в диапазоне частот от 30 до 300 МГц. Исключение блокировочных конденсаторов только повышает частоту колебаний. Для борьбы с резонансами используются внешние фильтры и распределенная система подключения к внешним источникам питания. Ток через один вывод питания не должен превышать     100 мА.

Такие же требования и к общим шинам. В сложных микросхемах число выводов электропитания вдвое превышает число сигнальных выводов. Такой метод увеличивает стоимость корпуса для микросхемы и применим только к дорогим изделиям. Если имеется конструктивный запас по тепловому режиму и энергопотреблению, то эффективнее подход с использованием вторичных источников питания. Напряжение на глобальных шинах должно быть больше напряжения питания СФ-блоков. Каждый СФ-блок имеет собственный вторичный источник питания. Резонансные частоты в шинах питания СФ-блоков очень высоки и легко фильтруются небольшими конденсаторами. Помехи в глобальных шинах многократно ослабляются в СФ-блоках. Число выводов корпуса можно значительно уменьшить.

Управляемые аналоговые вторичные преобразователи питания не могут использоваться для динамического управления энергопотреблением. Внутренние конденсаторы ограничивают скорость изменения выходного напряжения. Использование нескольких преобразователей в одном СФ-блоке значительно увеличивает площадь кристалла. Оптимальный вариант – это быстродействующие преобразователи с цифровым управлением. За счет быстрой реакции цифрового преобразователя питания осуществляется компенсация импульсных помех и быстрое переключение режимов.

Еще одна проблема – это электропитание маломощных микросхем подпороговой логики, для которых требуются напряжение 0,2÷0,4 В. Аккумуляторы, батареи и фотоэлементы дают напряжение в несколько раз выше. Коэффициент полезного действия понижающих преобразователей питания резко снижается с уменьшением выходной мощности (до единиц процентов). Наши оценки показывают, что самую высокую эффективность при низких выходных мощностях имеют преобразователи на переключаемых конденсаторах. Для их работы требуется, чтобы низкое выходное напряжение было меньше входного в два и более раза. На рис. 5.1 приведена структурная схема преобразователя питания, а на рис. 5.2 – временная диаграмма его работы.

5.7. Конструктивные средства энергосбережения.

Высокоплотный монтаж кристаллов повышает энергетическую эффективность системы за счет снижения емкостей сигнальных связей. Наиболее перспективной технологией является трехмерная сборка кристаллов для законченных электронных систем в компактные корпуса (типа BGA).   Системы в корпусе – это развитие идеологии интегрированных систем для технологически несовместимых функциональных блоков. Связи между кристаллами строятся, как и на печатной плате, с использованием высокоскоростных интерфейсов или радиоинтерфейсов. Главное отличие в том, что расстояния для передачи сигналов сокращаются на 2¸3 порядка. Сокращение расстояний дает возможности передавать информацию с большей скоростью при меньших затратах энергии.

5.8. Выводы к главе 5.

В ближайшие годы обеспечение энергетической эффективности вычислений станет одной из важнейших целей при разработке электронной аппаратуры. Повышение энергетической эффективности достигается только путем комплексной оптимизации системы на всех этапах ее разработки, включая создание архитектуры, оптимизацию программного обеспечения, применение энергосберегающей схемотехники, использование эффективной технологии, подготовку проекта к производству, сборку готовых кристаллов в компактные  корпуса, организацию связей между элементами системы. Сейчас еще нет технологических и методических средств для полноразмерной программы энергосбережения в электронных системах. Однако работы ведутся по всем отмеченным направлениям.


Глава 6. Синхронизация, связь и помехоустойчивость.

6.1. Проблемы синхронизации и связи.

Любой алгоритм обработки данных требует определенной последовательности и формы сигналов в пределах допустимых отклонений. На форму сигналов влияют шумы и помехи в системе. На задержки сигналов влияют нагрузочная способность элементов схемы, время распространения сигналов в проводниках и те же помехи. Совокупность ограничений на последовательность, задержки и форму сигналов называется связностью сигналов. Не существует единственного и универсального метода обеспечения связности сигналов. Для каждого конкретного проекта составляется свой набор средств борьбы с помехами и неоднородностями параметров элементов. На каждом этапе проектирования используется свой набор средств обеспечения связности сигналов и все этапы влияют на конечный результат.

С уменьшением размеров элементов снижается влияние характеристик транзисторов и возрастает роль проводников в формировании временной диаграммы работы интегрированной системы. На рис. 6.1 приведена диаграмма распределения составляющих задержки сигнала. Видно, что вклад системы соединений в суммарную задержку сигнала превышает задержку логических элементов уже для проектных норм 180 нм. Для 45 нм соотношение составляющих возрастает до 25. На рис. 6.2 приведены зависимости составляющих задержки от проектных норм. С уменьшением проектных норм скорость распространения сигналов в линиях связи снижается даже при использовании буферов повторителей. Задержки сигналов в логических элементах многократно снижаются. Оптимизация быстродействия микросхемы допускает увеличение числа элементов в обмен на снижение мощности и задержек сигналов. Для управления связностью сигналов наиболее важны не абсолютные значения задержек, а их отклонения от расчетных величин.

Отклонения задержек, не зависящие от времени, связаны с разбросом сечения проводников и нагрузочной способности транзисторов. Экспериментально установленные вариации задержек, связанные с параметрами проводников, характеризуются среднеквадратичным отклонением 2,2÷2,5%, рис.6.3. Систематические вариации нагрузочной способности логических элементов можно компенсировать электронными средствами. Случайные вариации параметров транзисторов можно учесть при расчетах, а можно вообще не учитывать. Их вклад в суммарные отклонения задержек незначителен.

Отклонения задержек, изменяющиеся во времени, связаны с перекрестными помехами и помехами в цепях питания. С уменьшением размеров элементов и повышением быстродействия переменные вариации задержек становятся определяющими, рис. 6.1.

Наибольшее влияние на нестабильность задержек цифровых сигналов оказывают перекрестные электромагнитные помехи в многоразрядных шинах. Чем длиннее проводники, тем сильнее связь между ними. В крайних значениях задержка сигнала в одном проводнике может различаться более, чем в два раза. Между проводниками образуются взаимная емкость и взаимная индуктивность. Емкость влияет на сигналы в соседних проводниках, индуктивность связывает все проводники в шине, но сравнительно слабо влияет на соседей. При одинаковом направлении фронтов сигналов взаимная емкость уменьшает  задержку, при разном – увеличивает. Взаимная индуктивность, наоборот, увеличивает задержку при совпадении направлений фронтов и уменьшает при их различии. Минимальная задержка в проводнике появится в случае, когда соседние линии связи переключатся в том же направлении, что и контрольная, а все остальные в противоположном. Максимальная задержка соответствует случаю, когда все линии связи кроме контрольной поменяют направление переключения сигнала. На рис. 6.4 приведена диаграмма задержек отдельной линии связи для различных кодовых комбинаций в многоразрядной шине данных.

С уменьшением размеров уменьшается напряжение питания, а уровень помех возрастает с увеличением числа элементов. Возрастает чувствительность схем к импульсным помехам в цепях питания. Обычно действие помех проявляется в снижении максимальной рабочей частоты, выше которой частота появления ошибок становится недопустимой. Конструктивные средства борьбы с помехами, принятые для аналоговых блоков, в цифровых схемах не используются, так как требуют многократного увеличения площади на кристалле. Комплексное применение электронных средств позволяет снизить частоту ошибок в вычислениях и повысить рабочую частоту блока до требуемого уровня.

Высокочастотные помехи в подложке быстро затухают с увеличением расстояния между элементами. Для цифровых схем значение имеют только емкостные связи между транзисторами в одном «кармане». Хотя эти связи могут влиять на быстродействие вентилей на уровне нескольких процентов, но они постоянны и хорошо моделируются средствами САПР. Из средств борьбы используются только разделение изолирующих «карманов» и снижение сопротивлений в цепях высокочастотного заземления.

Величина импульсных помех в цепях питания пропорциональна модулю реактивного импеданса этих цепей и амплитуде импульсов тока потребления. Первая обязательная задача в борьбе с помехами – это расчет или измерение на прототипе частоты электромагнитного резонанса в цепях питания. На резонансной частоте импеданс цепи питания может возрасти в десятки раз. Для микросхем с размером кристалла менее 5 мм, частота резонанса находится в гигагерцовом диапазоне, а добротность контура 1÷3. Для кристаллов площадью 100 мм2 и более резонансная частота понижается до сотен мегагерц с одновременным увеличением добротности резонансного контура. Если частота синхронизации окажется кратной или близкой к резонансной частоте, то помехи резко возрастут. Увеличение емкостей блокировочных конденсаторов может только понизить резонансную частоту. Снижение помех по питанию требует разделения резонансной частоты и частот  синхронизации, а также  построения распределенной сети вторичных источников электропитания. Вторичные цепи питания во много раз короче, имеют очень высокую резонансную частоту и достаточно низкий реактивный импеданс. Вторичные источники во много раз ослабляют помехи, распространяющиеся в первичных цепях питания.

В микросхемах на основе структур «кремний на диэлектрике» изолированные «карманы» обычно не подключены к шинам питания. Если схема работает, то потенциал «кармана» имеет некое среднее значение и не меняется при переключении ЛЭ. В период, когда схема находится в режиме ожидания, а синхросигнал  не подается, «карманы» меняют потенциал под воздействием токов утечки. При включении синхросигнала (СС) задержки в схеме будут отличаться от усредненных до тех пор, пока «карманы» не перезарядятся снова.

На нестабильность задержек влияет и тепловой режим работы схемы. Обычно перепад температур на кристалле не превышает 100С. Однако, новые методы монтажа больших многовыводных кристаллов на шарики припоя (перевернутый монтаж) увеличивают тепловое сопротивление микросхемы. Перепад температур возрастает в несколько раз. Быстродействие блоков изменяется неравномерно и это надо учитывать при проектировании.

Затухание сигналов в линиях связи вызывает нестабильность задержек, связанную с межсимвольной интерференцией, рис.6.5. Короткие импульсы с уменьшенной амплитудой имеют меньшую задержку, чем длинные импульсы с большой амплитудой. Наибольшее изменение задержки и формы наблюдается для короткого импульса, расположенного между двух длинных на временной диаграмме.

6.2. Распределение синхросигналов.

Нестабильность задержки синхросигнала во времени – основная проблема его распределения. При составлении архитектурного плана микросхемы предпочтение должно отдаваться вариантам, в которых на кристалле распределяется только низкочастотный синхросигнал опорной частоты или общий синхросигнал вообще отсутствует. Распределение высокочастотного синхросигнала на кристалле большой площади требует до 30% электрической мощности всей микросхемы.

Высокочастотные СС формируются непосредственно в интегральных модулях или СФ-блоках. Распределение локальных СС осуществляется на небольшой площади в пределах модуля или СФ-блока. Причем, частота локального СС может изменяться в одном блоке и отличаться в разных.

Передача данных между блоками осуществляется в соответствии с асинхронным протоколом. Для его реализации в структуре модулей должны быть специальные блоки – интерфейсы связи. Для асинхронного приема информационного потока требуется частота считывания данных в 3-4 раза выше частоты передачи. Снижение частоты локального синхросигнала достигается применением шин данных большой ширины (до 128 бит) и синхронных триггеров, срабатывающих как по фронту, так и по срезу СС. Снижение частоты синхронизации позволяет уменьшить влияние перекрестных помех на задержку, а также мощность передатчиков и приемников сигналов. Понижение частоты СС совместно с мерами по энергосбережению позволяет снизить мощность системы связи более чем в 10 раз.

На высоких частотах обеспечить минимальный сдвиг фаз синхросигнала на входах всех триггеров практически невозможно. Поэтому, наибольший интерес сейчас вызывают системы с контролируемым сдвигом фаз. Цепи распределения синхросигналов строятся как последовательность связанных резонансных контуров. В каждом контуре только один ведущий драйвер. Индуктор контура создается проводником, передающим синхросигнал. Необходимая для резонанса электрическая емкость включает входные емкости ведомых драйверов и специальные конденсаторы с высокой добротностью. Резонансные контура можно объединять в матрицу, покрывающую всю площадь СФ-блока или кристалла микросхемы. При этом каждый резонансный контур соединен драйверами с четырьмя соседними контурами, рис. 6.6. Другой вариант распределения использует классическую схему подключения ветвей в форме дерева H-типа, рис. 6.7. Очевидно, что резонансные частоты всех контуров, объединенных в систему распределения СС должны быть одинаковы. Мощность, необходимая для распределения СС, сокращается в 2÷4 раза, многократно снижается временная нестабильность. Недостатков у резонансной схемы тоже немало. Во-первых, высокую добротность контура можно обеспечить, только используя технику интегральных волноводов с экранированием сигнальных проводников. Волноводы занимают большую площадь на кристалле. Во-вторых, СС имеет форму синуса и до передачи в цифровой блок его надо предварительно сформировать в меандр. В-третьих, для изменения частоты СС необходимо подключить или отключить часть конденсаторов в каждом резонансном контуре. Схемы подключения конденсаторов снижают добротность контура. В-четвертых, в разных точках контура фазы СС разные. Сдвиг фаз осложняет расчет цифрового блока.

В классической схеме с деревом синхронизации на основе цепочки драйверов повышение помехоустойчивости при одновременном уменьшении защитных интервалов на временной диаграмме достигается использованием волнового алгоритма распределения СС. Волновой алгоритм применяется в конвеерных цифровых автоматах с большой логической глубиной комбинационных цепей. Основное отличие волнового алгоритма состоит в том, что СС поступают на регистры состояний не одновременно, а с задержкой, определяемой комбинационной цепью. Синхросигнал от корневого драйвера поступает на первый регистр конвеера и на первую линию задержки из цепи драйверов. Задержка сигнала в линии должна быть немного больше расчетного значения максимальной задержки в комбинационной цепи. Важной деталью является размещение драйверов линии задержки в структуре комбинационного логического узла схемы. В этом случае помехи и систематические вариации параметров одинаково действуют на ЛЭ и драйверы синхронизации. Задержки меняются одинаково. На следующий регистр состояний информационные сигналы и СС могут приходить с разными задержками, зависящими от логической глубины, напряжения питания и помех в схеме. Однако, последовательность сигналов не должна изменяться. Волновой алгоритм позволяет уменьшить защитные интервалы установки и удержания сигналов и повысить частоту синхронизации. Чем больше логическая глубина комбинационных цепей, тем выше эффективность волнового алгоритма. При использовании волнового алгоритма распределения СС в КМОП микросхеме с проектными нормами 90 нм достигнут уровень перемежающихся отказов менее одного  на 1015 циклов синхронизации.

6.3. Формирование синхросигналов.

При локальной синхронизации СФ-блоков на кристалле сложной микросхемы потребуется множество синтезаторов частот. Требования к таким синтезаторам определяются функциями СФ-блока. Для интерфейсных блоков, обеспечивающих связь с другими устройствами за пределами микросхемы, требуется одна или несколько фиксированных частот. Требования к точности и стабильности этих частот может обеспечить только генератор с кварцевой стабилизацией. Для цифровых блоков точное значение не имеет принципиального значения. При использовании резонансных цепей распределения СС, значение частоты генератора должно совпадать с частотой резонанса.

Спектр помех в цепях питания коррелирует с частотой СС и имеет ряд узких спектральных линий. Амплитудно-частотные характеристики цепей электропитания также имеют неравномерности  в виде резонансных участков, рис. 6.8. Совпадение спектральных линий помех и резонансов ведет к увеличению интенсивности перемежающихся отказов. Борьба с помехами ведется как конструктивными, так и схемотехническими средствами. Один из эффективных схемотехнических методов – это расширение спектра синхросигнала. Для этого генератор должен формировать не меандр, а последовательность импульсов разной частоты. Причем, период СС должен меняться с каждым импульсом при сохранении скважности близкой к двум. Естественно, минимальное значение периода СС должно соответствовать максимальной рабочей частоте блока. Для эффективного снижения помех в цепях питания достаточно обеспечить вариации периода СС в пределах ±30%. Максимальная амплитуда помех снижается при этом в несколько раз.

Формирование синхросигнала – один из самых энергозатратных процессов  в микросхемах. Мощность генератора пропорциональна частоте СС и сложности системы управления. Аналоговые генераторы энергетически эффективны, но их невозможно реализовать без конденсаторов и индукторов больших размеров. Много аналоговых генераторов в одном кристалле разместить нельзя. Полностью цифровые генераторы строятся с использованием  кольцевых логических цепей с множеством управляемых драйверов и мультиплексоров. Энергетически они крайне неэффективны. Лучшие показатели по потребляемой мощности и площади имеют комбинированные схемы, в которых генераторный узел построен на аналоговой линии задержек, а схема управления цифровая. Нами исследованы комбинированные генераторные схемы с частотой до 1200 МГц. Блок генератора характеризуется мощностью 16 мВт при напряжении питания 1,8 В. Структурная схема генераторного блока с цифровой системой фазовой автоподстройки частоты приведена на рис. 6.9. В схеме использован кольцевой генератор, управляемый переключаемыми конденсаторами. Схема имеет два независимых контура управления частотой генератора. Контур быстрой и грубой настройки обеспечивает как высокую скорость переключения частот, так и экономию мощности в схеме управления. Контур точной настройки обеспечивает точную установку частоты и кратковременные отклонения периода синхросигнала в пределах 30 пс. Схема использована для формирования точных СС внешних интерфейсов. При минимальных изменениях (отключение контура точного управления) блок генератора можно применять в системах синхронизации с расширенным спектром СС.

6.4. Уменьшение нестабильности задержек.

Разброс задержек ЛЭ, связанный с технологическим разбросом параметров транзисторов и проводников, частично можно компенсировать электронными средствами. Метод групповой компенсации использует принцип динамического управления электропитанием. Узел управления включает кольцевой генератор на логических элементах, схему сравнения частот, цифровой фильтр, вторичный источник электропитания, управляемый кодом с выхода цифрового фильтра, рис. 6.10. Частота кольцевого генератора сравнивается с внешней опорной частотой. Система управления поддерживает постоянное соотношение опорной частоты и частоты генератора. Система способна стабилизировать быстродействие ЛЭ при изменениях температуры и коррелированных изменениях параметров транзисторов. Схему управления удобно использовать и для совместного динамического управления напряжением питания и частотой СС. Достаточно устанавливать только опорную частоту, напряжение установится автоматически.

В многоразрядных шинах большой длины систематические отклонения задержек связаны с разбросом параметров проводников. С целью компенсации отклонений применяются адаптивные драйверы с цифровым управлением,
рис. 6.11. Адаптивные драйверы предназначены для формирования сигналов с одинаковой длительностью фронта в проводниках с разной паразитной емкостью. Если в этих проводниках отсутствуют волноводные эффекты и отражения сигналов, то задержка сигнала определяется длительностью фронта. В микросхемах это условие выполняется практически всегда, за исключением специальных радиотехнических блоков.

Группа адаптивных драйверов имеет один опорный и несколько управляемых каналов. Опорный канал не используется для передачи информации и формирует эталонные импульсы  с частотой  СС. Каждый управляемый драйвер включает фазовый детектор, реверсивный счетчик (цифровой фильтр) и группу отключаемых выходных драйверов. Если длительности фронтов эталонных и выходных импульсов отличаются незначительно или выходной импульс не формируется, то фазовый детектор не выдает никаких сигналов. Если длительности фронтов отличаются, то фазовый детектор выдает импульс на увеличение или уменьшение кода реверсивного счетчика. При изменении кода счетчика происходит подключение или отключение дополнительных выходных драйверов и компенсация отклонений уже в следующем импульсе.

Компенсировать влияние перекрестных помех только электронными способами пока не удается. Для борьбы с перекрестными помехами используются комплексные методы, включающие снижение уровня самих помех и чувствительности  линий связи к этим помехам.

Для снижения влияния перекрестных помех используются два метода. В первом методе число сигнальных линий увеличивается примерно на четверть. На входе шины данные кодируются так, чтобы минимизировать число переключений в соседних линиях и зафиксировать общее число переключений в шине. Комбинации, приводящие к значительному разбросу задержек, не используются. На выходе шины декодер восстанавливает информацию и проверяет ее на наличие ошибок, отбирая только разрешенные кодовые комбинации. Во втором методе число сигнальных проводников в шине удваивается. Один бит информации передается по двум проводникам противофазным переключением. Два проводника, образующие одну линию связи, формируются в виде витой пары и периодически пересекаются, меняясь местами. При парафазной передаче сигналов витой парой индуктивные связи практически не влияют на задержки, а емкостные усредняются. Кодирование сигналов не требуется. Минимально необходимое число пересечений невелико: для нечетных проводников одно, для четных – два. Размещение пересечений: для нечетных проводников на расстоянии 0,7L от драйвера, для четных – на расстоянии 0,5L и 0,87L, где L – длина проводника. Надежная передача данных обеспечивается при снижении логического перепада в шине до 0,3 В. Оба метода требуют увеличения числа проводников в сигнальной шине и применения  дополнительных электронных блоков согласования. В пределе мощность перекрестных помех можно уменьшить в 100 раз.

Эффект влияния изолированных «карманов» в микросхемах на основе структур типа «кремний на диэлектрике» можно исключить только управляя режимом работы всей системы. Синхросигнал в системе либо не должен отключаться во время работы, либо подаваться на все блоки за несколько миллисекунд до начала выполнения рабочей программы. В этом случае неуправляемый заряд в «карманах» стабилизируется и не будет влиять на задержку сигналов.

6.5. Связь с внешними устройствами в системе.

Усложнение интегральных электронных систем сопровождается многократным увеличением информационных потоков. Если системы состоят из нескольких микросхем, то информационные потоки необходимо передавать между кристаллами. Для увеличения пропускной способности систем связи есть только два пути: увеличение числа выводов микросхем и повышение частот передачи сигналов. Число выводов у кристаллов современных микропроцессоров перевалило за 1000. «Дорожная карта» – ITRS прогнозирует в перспективе увеличение числа выводов до 6000 при стоимости корпуса 40÷50 долларов США (в ценах 2007 г.). Такие решения пригодны только для суперкомпьютеров и сложных информационных систем. Для потребительской электроники должны использоваться технические решения, основанные на применении дешевых корпусов с числом выводов до нескольких сотен.

В англоязычной литературе появились два новых термина: решение на кристалле (Solution on chip) и система в корпусе (System in package). В обоих случаях упор делается на достижение законченного потребительского решения на основе одной микросхемы в корпусе. В первом случае – это законченное решение на одном кристалле, во втором – многокристальная сборка в одном корпусе. Если в электронной системе только одна микросхема, то она связана только с органами управления и исполнительными устройствами. Потоки информации резко сокращаются, а проблема стоимости корпуса решается очень просто. Для систем в корпусе расстояния между кристаллами уменьшаются до единиц миллиметров, что позволяет передавать информацию с высокой скоростью и небольшими энергетическими затратами. Это также дает возможность уменьшить число контактных площадок на кристалле как за счет сигнальных связей, так и за счет цепей питания.

Для уплотнения информационных потоков используются последовательные каналы передачи данных с кодовым разделением и множественным доступом потребителей (CDMA – Code division and multiple access). Эти принципы давно реализованы в цифровых последовательных интерфейсах связи (ЦПИ) системного уровня (USB, IEEE 1394, Ethernet и др.). Такие интерфейсы достаточно сложны. Для систем в корпусе пока нет общепринятых стандартов связи. Работы в этом направлении ведутся очень активно.

Основное отличие систем в корпусе количественное. Частота передачи данных может быть значительно выше, чем по кабелю (до 20 Гбит/сек на канал); каналов связи может быть несколько. В России также успешно ведутся работы по созданию цифровых последовательных интерфейсов в составе «систем на кристалле».

Ключевыми блоками ЦПИ являются скоростные передатчики и приемники сигналов. Для асинхронного приема данных требуется избыточность при считывании. Обычно считывание ведется под управлением четырех синхросигналов одной частоты, но сдвинутых по времени на четверть периода. Рассмотрим вариант приемника, построенного только на цифровых элементах. Входной сигнал поступает на группу из четырех входных триггеров, каждый из которых синхронизируется своей фазой СС. Последовательно с каждым входным триггером включено еще 3 триггера, образующих сдвиговый регистр. Синхронизация триггеров сдвигового регистра осуществляется так, чтобы на выходах четвертого триггера считанные данные появлялись одновременно, рис. 6.12. По времени захвата данных систему входных триггеров можно разделить на четыре временных интервала: A, B, C и D. На первом этапе схема принятия решения (рис. 6.13) обнаруживает изменение сигнала на выходах схемы захвата (рис. 6.12). Сигналы AP÷DP указывают на положительные переходы (фронты), сигналы AN÷DN на отрицательные (срезы). Анализ состояний  блока захвата проводится на основании восьми бит. На основе выходных комбинаций может быть принято четыре решения:

1. AP=BP=CP=DP=1  или  AN=BN=CN=DN=1

Это означает, что переход состояния обнаружен на временном
интервале A. Данные нужно считывать из интервала C.

2. AP=1, BP=CP=DP=0  или  AN=1, BN=CN=DN=0

Означает, что переход обнаружен на временном интервале B. Данные считываются из интервала D.

3. AP=BP=1, CP=DP=0  или  AN=BN=1, CN=DN=0

Означает, что переход обнаружен на интервале С. Данные считываются из интервала A.

4. AP=BP=CP=1, DP=0  или  AN=BN=CN=1, DN=0

Переход обнаружен на интервале D. Данные считываются из интервала B.

Функцию выбора данных из нужного интервала выполняет мультиплексор.

В большинстве случае при асинхронном приеме тактовые частоты приемника и передатчика отличаются. Следовательно появляются периоды считывания входных данных, когда информация будет отсутствовать или на входе появятся сразу два бита информации. Схема принятия решения может выбирать данные из двух временных интервалов или не осуществлять выборку совсем. Входной поток данных заполняет буферный регистр (8 бит). При заполнении регистра формируется байтовый информационный пакет и сигнал байтовой синхронизации. Далее информация обрабатывается в байтовом формате с низкой частотой байтовой синхронизации.

Передача высокочастотных сигналов между кристаллами в корпусе, на плате или между устройствами всегда связана с искажениями этих сигналов в проводниках. Как правило применяются конструктивные и схемотехнические средства борьбы с искажениями. Простое и эффективное средство – это передача дифференциального сигнала по экранированной витой паре с одновременным согласованием импедансов приемника, передатчика и линии связи. При высокоплотном монтаже кристаллов для «систем в корпусе» выполнить эти условия практически невозможно. Согласование импедансов увеличивает мощность передаваемого сигнала в несколько раз. Для «систем в корпусе» эффективна передача дифференциальных сигналов по линиям связи с токовым управлением.

Токовое управление применяется для проводников длиной более 2 мм. При токовом управлении перекрестные помехи снижаются в несколько раз. Отражения сигналов в несогласованных волноводах резко снижаются. Для регистрации сигналов используются относительно простые усилители с отрицательной обратной связью и низким входным сопротивлением.

Общий уровень развития систем связи для расстояний 2÷20 мм явно недостаточный.

6.6. Выводы к главе 6.

В нанометровых микросхемах быстродействие элементов ограничивается процессами, происходящими в системе сигнальных связей. Повышение быстродействия требует комплексных решений, включающих оптимизацию архитектуры системы, управление электропитанием, адаптивные методы управления задержками, локальную синхронизацию СФ-блоков, волновой алгоритм распределения синхросигналов, конструктивные средства снижения перекрестных помех.

Основные нерешенные схемотехнические задачи – это эффективное подавление помех в цепях питания на кристалле и унификация протоколов асинхронной цифровой связи на кристалле и в корпусе многокристальной микросистемы.




1. Элементарная классическая теория электропроводности металлов Носителями тока в металлах являются свобо
2. История виталогии
3. следственных связей объясняющих динамику семейной системы; для понимания системных феноменов проявляющи
4. Реферат на тему- WINDSOR CSTLE Windsor Cstle is the oldest royl residence to hve remined in continuous use by the monrchs of Britin nd is in mny wys n rch
5. Российский государственный профессиональнопедагогический университет Институт электроэнергетики и ин
6. Практична психологія освітньокваліфікаційного рівня бакалавра спеціаліста та магістра у Львівському де
7. Тема научного исследования Руководитель 1 Алешина Татьяна Дмитриев
8. начале ХVIIIвека Казахское ханство- занимало важное место в системе международных отношений в Централь
9. Основные подходы к исследованию систем управления
10. Реферат- Трудовые правоотношения
11. Экономические институты и их роль в современной рыночной экономике
12. Управление собственным капиталом
13. я КАФЕДРА ТЕРАПЕВТИЧЕСКОЙ СТОМАТОЛОГИИ ФЛЮОРОЗ ЗУБОВ ЭНДЕМИЧЕСКАЯ КРАПЧАТОСТЬ ЭМАЛ
14. Методические рекомендации для преподавателя
15. В развитии язвенной болезни желудка и 12перстной кишки могут участвовать следующие факторы- инфекция
16. до начала второй половины XVIII в
17.  Про плагіат5 1
18. Отчет по ЛР 1 Программирование линейного вычислительного процесса Выполнила- Ахметшина Р
19. Граничні теореми теорії ймовірностей
20. А Конференція ООН з торгівлі та розвитку ЮНКТАД з промислового розвитку ЮНІДО Міжнародна Організація Пр