Будь умным!


У вас вопросы?
У нас ответы:) SamZan.net

сх10мал3 EMBED Visio

Работа добавлена на сайт samzan.net: 2015-07-10

Поможем написать учебную работу

Если у вас возникли сложности с курсовой, контрольной, дипломной, рефератом, отчетом по практике, научно-исследовательской и любой другой работой - мы готовы помочь.

Предоплата всего

от 25%

Подписываем

договор

Выберите тип работы:

Скидка 25% при заказе до 20.5.2024

PAGE  4

FILENAME пос-сх10-мал-3


EMBED Visio.Drawing.5  

EMBED Visio.Drawing.6  

ТРИГГЕРЫ.

К последовательностным   схемам   относятся   различные  типы логических   элементов   с   двумя   или   более   устойчивыми состояниями  и  устройства на их основе, функции выходов которых определяются не только комбинацией    действующих на входах внешних сигналов,  но и  в отличие от комбинационных схем некоторыми внутренними сигналами (состояниями), учитывающими   предыдущие   входные   воздействия    регистры памяти, счетчики   импульсов,   накапливающие сумматоры, оперативные запоминающие устройства и т. д. Наиболее  часто   в  качестве базовых   элементов   последовательностных   схем   используются логические элементы с двумя устойчивыми состояниями, которые в сочетании с двоичными комбинационными схемами образуют элементный базис двоичных цифровых устройств. В многообразии   бистабильных логических элементов особенно широкое применение нашли   триггеры.

Триггерами называют спусковые или регенеративные устройства с двумя возможными устойчивыми состояниями, в которые они могут устанавливаться управляющими входными сигналами. Существует большое количество разновидностей триггеров, которые различаются по виду входных и выходных сигналов, а также по способу управления состояниями записи информации в триггер.

По виду входных сигналов различают триггеры с импульсным и потенциальным управлением. В цифровых вычислительных устройствах в основном применяются триггеры с потенциальным управлением.

По способу записи информации триггеры подразделяются на асинхронные и синхронные (тактируемые).

У асинхронных триггеров имеются только информационные (логические) входы. Асинхронные триггеры отличает свойство срабатывать непосредственно за изменением сигналов на входах, не считая времени задержки на элементах, образующих триггер. Основной недостаток асинхронных триггеров, ограничивающий их использование в быстродействующей   аппаратуре, - незащищенность перед опасными состязаниями сигналов. Явление состязаний, или гонок, состоит в том, что сигналы, поступающие на разные информационные входы триггера, проходят по разным цепям, пройдя различное число элементов. Вследствие задержек распространения между сигналами возможны временные сдвиги, которые будут меняться с колебаниями температуры и по мере старения деталей. Состязание сигналов могут оказаться причиной ложных срабатываний триггера. Тактированием этот недостаток удается устранить. Асинхронный триггер по большей части используют в качестве ключей, прерывателей, делителей частоты, асинхронных счетчиков и т.п.

У синхронных триггеров смены сигналов еще недостаточно  для срабатывания. Необходим дополнительный командный импульс, который подается на синхронизирующий, или, как его чаще называют, тактирующий, вход.  Синхронизирующие сигналы вырабатываются специальным генератором тактовых импульсов, которые задают частоту смены информации в дискретные моменты времени. В синхронных триггерах момент переключения определяется моментом смены кодовой комбинации на информационных входах. В эти же моменты  обновляется информация на выходах триггера, которая поступает на входы последующих устройств. Синхронизация триггеров производится по уровню тактирующего сигнала либо по его положительному или отрицательному фронту. Синхронизация обеспечивает привязку сигналов ко времени и объединяет в общем ритме работу многих узлов аппаратуры. Синхронные триггеры сравнительно с асинхронными обладают также более высокой помехоустойчивостью. Опрокидывание синхронных триггеров происходит только при участии тактовых импульсов, длительность которых гораздо меньше их периода.  В остальное время на входные сигналы, равно как и на помехи различного происхождения, триггер не реагирует.

В вычислительной и цифровой технике, связанной с обработкой и преобразованием информации, почти везде используются синхронные системы.

По виду выходных сигналов различают статические и динамические триггеры. В статических триггерах устойчивые состояния идентифицируются по уровням постоянных напряжений на их выходах. Состояния динамических триггеров определяются по наличию или отсутствию на выходах непрерывной серии импульсов. Наибольшее распространение в цифровой схемотехнике получили статические триггеры.

Функционально триггер можно представить в виде элемента памяти со схемой управления.  Элемент памяти хранит информацию о результате предыдущего воздействия на триггер. Схема управления реализует правила реагирования триггера на различные входные сигналы и их комбинации. В конечном счете, схема управления вырабатывает сигналы, которые обеспечивают хранение и информации в запоминающем элементе, подтверждение состояния либо переключение запоминающего элемента в новое состояние. В двоичном триггере для смены состояний запоминающего элемента достаточно выработать сигналы установки в единичное состояние (Установка «1») и в нулевое (Установка «0»). Отсутствие сигналов установки соответствует режиму хранения информации, а их одновременное действие приводит к неопределенному результату, поэтому такое управление обычно не используется.

По реакции триггера на входные управляющие воздействия различают следующие виды входов:

S - вход для установки (Set - установка) триггера в состояние «1» (на основном или прямом выходе триггера Q устанавливается сигнал «логическая «1», т. e. Q = 1);

R -  вход для сброса (Reset - сброс, возврат) триггера в состояние «0» (Q = 0);

D - вход для установки триггера в состояние «1» при D = 1 или «0» при D = 0 т. е. задержкой (Delay - задержка) переключения выходов Q, по отношению ко входу D;

Т - вход переключения (Toggle - релаксатор) триггера в противоположное состояние аналогично счету по модулю 2, поэтому вход Т называют счетным;

J, К - входы для установки (Jerk - включение) и сброса (Кill - отключение) триггера в состояние соответственно «1» и «0» аналогично входам S и R, отличие состоит в том, что одновременное возбуждение входов S и R обусловливает неопределенность перехода триггера в одно из двух возможных состояний, а одновременное возбуждение входов J и К вызывает однозначно смену состояния триггера аналогично входу Т;

С - вход синхронизации (Clock - часы) для точного задания моментов переключения состояний триггера;

V - вход для разрешения или запрета реагирования триггера на соответствующие управляющие входы.

Обычно триггеры содержат лишь часть из перечисленных типов входов, причем некоторые из них являются кратными. По совокупности управляющих входов различают:

RS-триггеры с раздельными входами установки в состояние «0» и «1»; RS-триггеры бывают асинхронными и синхронными, если кроме S и R имеется вход С;

D-триггеры с записью информации по одному входу D и моменты времени, определяемые синхроимпульсами С;

Т-триггеры  со  счетным входом;

JK-триггеры -  универсальные триггеры, в которых входы J и К в отдельности реализуют раздельное управление, а совместно — счетный режим.

Кроме названных типов существует много разновидностей триггеров с комбинированным управлением названными типами входов, с блокировкой каких-либо информационных входов или без нее. Триггеры, синхронизируемые уровнем синхроимпульса, могут в течение действия синхроимпульса многократно переключаться управляющими сигналами. В паузе между синхроимпульсами их состояния не изменяются независимо от управляющих сигналов.

Триггеры, синхронизируемые фронтом, изменяют состояния лишь в момент переключения уровней синхроимпульса из «0» в «1» (положительный фронт) или из «1» в «0» (отрицательный фронт). При любых постоянных уровнях синхроимпульса триггер сохраняет состояние при всевозможных изменениях управляющих сигналов. Следовательно, синхронизируемый фронтом триггер за время действия синхроимпульса любой длительности может переключиться только один раз.

Триггеры описываются совокупностью статических и динамических параметров.

Важнейшим из статических параметров, аналогично логическим элементам, являются коэффициент объединения по входу - Коб, коэффициент разветвления по выходу - Краз, входные и выходные уровни напряжения «0» -  и «1» - , входные и выходные токи «0» -  и «1» - .

Основными динамическими параметрами триггера являются:

tраз - разрешающее время, определяемое как минимальный период следования входных сигналов при котором триггер сохраняет работоспособность, разрешающее время определяет максимальную частоту переключения fтax =1/tраз;

- длительность задержки распространения сигнала, измеряемая на выходах триггера по отношению к каждому из входов;

tвх - минимальная длительность входного cигнала, при которой триггер адекватно реагирует на управляющее воздействие.

Технические реализации триггеров отличаются типом используемых активных компонентов и способом их включения. Прежде всего, это относится к собственно запоминающему элементу триггера. Для обеспечения переключения состояний запоминающего элемента с максимальной скоростью в нем используется так называемый регенеративный режим, который имеет место, если в схеме действует положительная обратная связь.

RS-триггеры на интегральных микросхемах.

В зависимости от структуры различают RS-триггеры с прямым и инверсным входами.

Триггеры такого типа построены на двух логических элементах: 2ИЛИ-НЕ – триггер с прямыми входами, 2И-НЕ – триггер с инверсными входами. Выход каждого из элементов подключен к одному из входов другого элемента, что обеспечивает триггеру два устойчивых состояния.

Триггеры с прямым входом имеют следующую  логическую структуру, представленную на  рис. 6.2, а,  и условное графическое изображение рис. 6.2, б и их состояния описываются картой  Kарно рис 6.2, в, аргументами которой являются входные сигналы триггера на n-м такте и предыдущее состояние триггера Qn-1.

Рис. 6.2.

Таблица 6.1 истинности  триггера

S

R

Qt

Qt

Qt+1

Qt+1

0

1

0

1

0

1

1

0

0

1

1

0

0

0

0

1

0

1

1

1

0

1

*

*

0

1

1

0

0

1

1

0

1

0

1

0

0

0

1

0

1

0

1

1

1

0

*

*

В таблице Qt  и Qt  обозначают уровни, которые  были на выходах триггера до подачи на его входы активных уровней. Активным называется логический уровень, действующий на входе логического элемента и однозначно определяющий логический уровень выходного сигнала независимо от логических уровней, действующих на остальных входах  (для ИЛИ-НЕ за активный уровень принимают высокий уровень). Уровни, подача которых на один из входов не приводит к изменению логического уроня на выходе элемента, называют пассивными. Уровни Qt+1  и Qt+1 обозначают логические уровни на выходах после подачи информации на его входы.

Для триггера с прямыми входами Qt+1=1 при S=1,  R=0; Qt+1=0 при S=0, R=1; Qt+1=Qt при S=0, R=0. При S=R=1 состояние триггера будет неопределенным, так как во время действия информационных сигналов логические уровни на выходах триггера одинаковы (Qt+1=Qt+1=0), а после окончания  их действия триггер может равновероятно принять любое из устойчивых состояний. Поэтому такая комбинация является запрещенной.

Режим S=1, R=0 называют режимом записи 1 (т.к. Qt+1 = 1); режим S=0, R=1 – режимом записи 0. Режим S=0, R=0 называется режимом хранения информации, так как информация на выходе остается неизменной.

Записанная по карте Карно МДНФ с доопределением запрещенных состояний «логическими 1» дает характеристическое уравнение RS-триггера.

Qn = Sn + Qn-1.                                                                      (6.1)

Триггер с инверсными входами.

Преобразуем тождественно уравнение (6.1), воспользовавшись правилом   двойного отрицания

                                                                  (6.3)

Характеристическое уравнение в форме (6.3) показывает способ реализации RS-триггера на элементах И-НЕ (рис. 6.3,а), На рис. 6.3,б приведено его условное графическое изображение, a на рис. 6.3,г - временные диаграммы работы.

На условном графическом изображении (рис. 6.3,б) кружки на входах R, S показывают, что данный RS-триггер управляется инверсными сигналами, т. е. в режиме хранения информации на входы подаются  = 1 и  = 1, управление осуществляется подачей на соответствующий вход уровня «0», и запрещенной является комбинация входных сигналов == 0.

Рис. 6.3

Таблица истинности для данного типа триггеров приведена в табл. 6.2.

S

R

Qt

Qt

Qt+1

Qt+1

0

1

0

1

1

0

1

0

0

1

0

1

0

0

0

1

*

*

1

1

0

1

0

1

0

1

1

0

1

0

1

0

1

0

0

1

0

0

1

0

*

*

1

1

1

0

0

1

Для элементов И-НЕ активным является низкий уровень. Режим записи логической 1 реализуется при S=0, R=1, режим записи логического нуля – при S=1, R=0. При S =R = 1 обеспечивается хранение информации. Комбинация S =R = 0 является запрещенной.




1. Лабораторная работа 1 Аттестация рабочих мест
2. Гродненский государственный аграрный университет УТВЕРЖДАЮ Ректор учреждения обр
3. Цивилизационные методы в изучении истории
4. СанктПетербургский государственный инженерноэкономический университет Кафедра экономики и менедж
5. на тему- Молодь та наркотики Підготувала- студентка ІIIго курсу групи Ю42 Слушинська Настя.
6. разновидность литературного язык предназначенные для использования в определенных сферах общения Раздел
7. Лабораторная работа 3 По дисциплине- Микроволновые системы телекоммуникаций Ознакомление с
8. Кинетика кристаллизации
9. Лабораторная работа 6 функции Цель работы приобрести навыки в решении задач с использованием функций.
10. політик голова найбільшої партії прем~єрміністр відомий міжнародний діяч
11. Исследовательская деятельность учащихся
12. тема Уложения 10 1
13. і. Це ' рівень технології організації соціальнопедагогічної роботи що включає підготовку спеціаліста йог
14. Анализ и моделирование информационной системы кредитного отдела OAO Сбербанк
15. I. Христианам необходимо молиться 1- Что можно совершить с помощью молитвы Молитва производит силу Моли
16. .1995 г. 181ФЗ а так же оформления и удостоверения Вами или соответствующим полномочным к тому от Вас должнос
17. Страшные сказки о безопасности Windows и Интернет
18. Проектирование хозяйственной деятельности предприятия
19. 1 Напруження і деформації при кручені стрижнів круглого поперечного перерізу Деформація кручення б
20. Учет наличия и движения собственных основных средств предприятия